From 7cf859df9c825767da4e7df62dc1d98efda7daa3 Mon Sep 17 00:00:00 2001 From: Pieter Van Trappen <pieter.van.trappen@cern.ch> Date: Fri, 4 Nov 2016 15:13:13 +0100 Subject: [PATCH] commit before changing 1G_PCS_PMA to 1000BASE-X, now SGMII, AN and PHY-mode --- .../bd/system_design/hdl/system_design.vhd | 54 +- ...system_design_gig_ethernet_pcs_pma_0_0.vhd | 2 +- ..._design_gig_ethernet_pcs_pma_0_0_block.vhd | 2 +- .../sim/system_design_xlconstant_3_1.vhd | 2 +- .../system_design/activehdl/README.txt | 2 +- .../system_design/activehdl/system_design.sh | 2 +- .../sim_scripts/system_design/ies/README.txt | 2 +- .../system_design/ies/system_design.sh | 2 +- .../system_design/modelsim/README.txt | 2 +- .../system_design/modelsim/system_design.sh | 2 +- .../system_design/questa/README.txt | 2 +- .../system_design/questa/system_design.sh | 2 +- .../system_design/riviera/README.txt | 2 +- .../system_design/riviera/system_design.sh | 2 +- .../sim_scripts/system_design/vcs/README.txt | 2 +- .../system_design/vcs/system_design.sh | 2 +- .../sim_scripts/system_design/xsim/README.txt | 2 +- .../system_design/xsim/system_design.sh | 2 +- FASEC_prototype.sdk2/.metadata/.log | 6065 +++++++++++++++++ .../.plugins/org.eclipse.cdt.core/.log | 3 + .../FASEC_Periph-test.build.log | 4 +- .../FASEC_SFP-Periph-test.build.log | 83 +- .../org.eclipse.cdt.ui/global-build.log | 906 +++ .../standalone_bsp_1.build.log | 4 +- .../.projects/FASEC_Periph-test/.markers | Bin 3286 -> 3286 bytes .../.projects/FASEC_SFP-Periph-test/.markers | Bin 404 -> 663 bytes .../.indexes/properties.index | Bin 275 -> 275 bytes .../.root/{6.tree => 9.tree} | Bin 25093 -> 29112 bytes .../.safetable/org.eclipse.core.resources | Bin 928 -> 922 bytes .../.settings/com.xilinx.sdk.utils.prefs | 2 +- .../org.eclipse.e4.workbench/workbench.xmi | 57 +- FASEC_prototype.sdk2/.metadata/version.ini | 2 +- FASEC_prototype.sdk2/SDK.log | 154 + .../ps7_cortexa9_0/lib/libxil.a | Bin 292014 -> 292014 bytes .../system_design_wrapper.hdf | Bin 536152 -> 534125 bytes .../system.hdf | Bin 536152 -> 536661 bytes .../system_design_wrapper.bit | Bin 5980033 -> 5980033 bytes .../bd/system_design/hdl/system_design.hwdef | Bin 41872 -> 42384 bytes .../bd/system_design/hdl/system_design.vhd | 54 +- .../hdl/system_design_wrapper.vhd | 2 +- .../hw_handoff/system_design.hwh | 57 +- .../hw_handoff/system_design_bd.tcl | 183 +- .../system_design_auto_pc_0.xml | 10 +- ...system_design_gig_ethernet_pcs_pma_0_0.vhd | 2 +- ..._design_gig_ethernet_pcs_pma_0_0_block.vhd | 2 +- ...system_design_gig_ethernet_pcs_pma_0_0.xci | 4 +- ...system_design_gig_ethernet_pcs_pma_0_0.xml | 26 +- .../system_design_xlconstant_0_2.xml | 4 +- .../sim/system_design_xlconstant_3_1.vhd | 2 +- .../system_design_xlconstant_3_1.xci | 4 +- .../system_design_xlconstant_3_1.xml | 16 +- .../bd/system_design/system_design.bd | 40 +- .../bd/system_design/system_design.bxml | 6 +- .../bd/system_design/ui/bd_7f01d80e.ui | 159 +- FASEC_prototype.xpr | 37 +- 55 files changed, 7661 insertions(+), 315 deletions(-) rename FASEC_prototype.sdk2/.metadata/.plugins/org.eclipse.core.resources/.root/{6.tree => 9.tree} (51%) diff --git a/FASEC_prototype.ip_user_files/bd/system_design/hdl/system_design.vhd b/FASEC_prototype.ip_user_files/bd/system_design/hdl/system_design.vhd index a748ef5c..0afc5364 100644 --- a/FASEC_prototype.ip_user_files/bd/system_design/hdl/system_design.vhd +++ b/FASEC_prototype.ip_user_files/bd/system_design/hdl/system_design.vhd @@ -1,7 +1,7 @@ --Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. ---------------------------------------------------------------------------------- --Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 ---Date : Tue Nov 1 18:11:29 2016 +--Date : Fri Nov 4 14:33:46 2016 --Host : lapte24154 running 64-bit openSUSE Leap 42.1 (x86_64) --Command : generate_target system_design.bd --Design : system_design @@ -2114,10 +2114,14 @@ architecture STRUCTURE of system_design is signal fasec_hwtest_0_led_col_pl_o : STD_LOGIC_VECTOR ( 3 downto 0 ); signal fasec_hwtest_0_led_line_en_pl_o : STD_LOGIC; signal fasec_hwtest_0_led_line_pl_o : STD_LOGIC; + signal gig_ethernet_pcs_pma_0_gmii_rx_dv : STD_LOGIC; + signal gig_ethernet_pcs_pma_0_gmii_rx_er : STD_LOGIC; + signal gig_ethernet_pcs_pma_0_gmii_rxd : STD_LOGIC_VECTOR ( 7 downto 0 ); signal gig_ethernet_pcs_pma_0_sgmii_RXN : STD_LOGIC; signal gig_ethernet_pcs_pma_0_sgmii_RXP : STD_LOGIC; signal gig_ethernet_pcs_pma_0_sgmii_TXN : STD_LOGIC; signal gig_ethernet_pcs_pma_0_sgmii_TXP : STD_LOGIC; + signal gig_ethernet_pcs_pma_0_sgmii_clk_r : STD_LOGIC; signal osc100_clk_i_1 : STD_LOGIC; signal pb_gp_i_1 : STD_LOGIC; signal processing_system7_0_DDR_ADDR : STD_LOGIC_VECTOR ( 14 downto 0 ); @@ -2135,6 +2139,9 @@ architecture STRUCTURE of system_design is signal processing_system7_0_DDR_RAS_N : STD_LOGIC; signal processing_system7_0_DDR_RESET_N : STD_LOGIC; signal processing_system7_0_DDR_WE_N : STD_LOGIC; + signal processing_system7_0_ENET1_GMII_TXD : STD_LOGIC_VECTOR ( 7 downto 0 ); + signal processing_system7_0_ENET1_GMII_TX_EN : STD_LOGIC_VECTOR ( 0 to 0 ); + signal processing_system7_0_ENET1_GMII_TX_ER : STD_LOGIC_VECTOR ( 0 to 0 ); signal processing_system7_0_FCLK_CLK0 : STD_LOGIC; signal processing_system7_0_FCLK_CLK1 : STD_LOGIC; signal processing_system7_0_FCLK_CLK2 : STD_LOGIC; @@ -2145,14 +2152,6 @@ architecture STRUCTURE of system_design is signal processing_system7_0_FIXED_IO_PS_CLK : STD_LOGIC; signal processing_system7_0_FIXED_IO_PS_PORB : STD_LOGIC; signal processing_system7_0_FIXED_IO_PS_SRSTB : STD_LOGIC; - signal processing_system7_0_GMII_ETHERNET_1_RXD : STD_LOGIC_VECTOR ( 7 downto 0 ); - signal processing_system7_0_GMII_ETHERNET_1_RX_CLK : STD_LOGIC; - signal processing_system7_0_GMII_ETHERNET_1_RX_DV : STD_LOGIC; - signal processing_system7_0_GMII_ETHERNET_1_RX_ER : STD_LOGIC; - signal processing_system7_0_GMII_ETHERNET_1_TXD : STD_LOGIC_VECTOR ( 7 downto 0 ); - signal processing_system7_0_GMII_ETHERNET_1_TX_CLK : STD_LOGIC; - signal processing_system7_0_GMII_ETHERNET_1_TX_EN : STD_LOGIC_VECTOR ( 0 to 0 ); - signal processing_system7_0_GMII_ETHERNET_1_TX_ER : STD_LOGIC_VECTOR ( 0 to 0 ); signal processing_system7_0_MDIO_ETHERNET_1_MDC : STD_LOGIC; signal processing_system7_0_MDIO_ETHERNET_1_MDIO_I : STD_LOGIC; signal processing_system7_0_MDIO_ETHERNET_1_MDIO_O : STD_LOGIC; @@ -2288,6 +2287,8 @@ architecture STRUCTURE of system_design is signal NLW_fasec_hwtest_0_FMC2_GP3_b_UNCONNECTED : STD_LOGIC; signal NLW_gig_ethernet_pcs_pma_0_an_interrupt_UNCONNECTED : STD_LOGIC; signal NLW_gig_ethernet_pcs_pma_0_gmii_isolate_UNCONNECTED : STD_LOGIC; + signal NLW_gig_ethernet_pcs_pma_0_gmii_rxclk_UNCONNECTED : STD_LOGIC; + signal NLW_gig_ethernet_pcs_pma_0_gmii_txclk_UNCONNECTED : STD_LOGIC; signal NLW_gig_ethernet_pcs_pma_0_gt0_qplloutclk_out_UNCONNECTED : STD_LOGIC; signal NLW_gig_ethernet_pcs_pma_0_gt0_qplloutrefclk_out_UNCONNECTED : STD_LOGIC; signal NLW_gig_ethernet_pcs_pma_0_gtrefclk_bufg_out_UNCONNECTED : STD_LOGIC; @@ -2299,7 +2300,6 @@ architecture STRUCTURE of system_design is signal NLW_gig_ethernet_pcs_pma_0_rxuserclk2_out_UNCONNECTED : STD_LOGIC; signal NLW_gig_ethernet_pcs_pma_0_rxuserclk_out_UNCONNECTED : STD_LOGIC; signal NLW_gig_ethernet_pcs_pma_0_sgmii_clk_f_UNCONNECTED : STD_LOGIC; - signal NLW_gig_ethernet_pcs_pma_0_sgmii_clk_r_UNCONNECTED : STD_LOGIC; signal NLW_gig_ethernet_pcs_pma_0_userclk2_out_UNCONNECTED : STD_LOGIC; signal NLW_gig_ethernet_pcs_pma_0_userclk_out_UNCONNECTED : STD_LOGIC; signal NLW_gig_ethernet_pcs_pma_0_status_vector_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 0 ); @@ -2484,14 +2484,14 @@ gig_ethernet_pcs_pma_0: component system_design_gig_ethernet_pcs_pma_0_0 configuration_valid => xlconstant_3_dout(0), configuration_vector(4 downto 0) => drive_constants_dout(4 downto 0), gmii_isolate => NLW_gig_ethernet_pcs_pma_0_gmii_isolate_UNCONNECTED, - gmii_rx_dv => processing_system7_0_GMII_ETHERNET_1_RX_DV, - gmii_rx_er => processing_system7_0_GMII_ETHERNET_1_RX_ER, - gmii_rxclk => processing_system7_0_GMII_ETHERNET_1_RX_CLK, - gmii_rxd(7 downto 0) => processing_system7_0_GMII_ETHERNET_1_RXD(7 downto 0), - gmii_tx_en => processing_system7_0_GMII_ETHERNET_1_TX_EN(0), - gmii_tx_er => processing_system7_0_GMII_ETHERNET_1_TX_ER(0), - gmii_txclk => processing_system7_0_GMII_ETHERNET_1_TX_CLK, - gmii_txd(7 downto 0) => processing_system7_0_GMII_ETHERNET_1_TXD(7 downto 0), + gmii_rx_dv => gig_ethernet_pcs_pma_0_gmii_rx_dv, + gmii_rx_er => gig_ethernet_pcs_pma_0_gmii_rx_er, + gmii_rxclk => NLW_gig_ethernet_pcs_pma_0_gmii_rxclk_UNCONNECTED, + gmii_rxd(7 downto 0) => gig_ethernet_pcs_pma_0_gmii_rxd(7 downto 0), + gmii_tx_en => processing_system7_0_ENET1_GMII_TX_EN(0), + gmii_tx_er => processing_system7_0_ENET1_GMII_TX_ER(0), + gmii_txclk => NLW_gig_ethernet_pcs_pma_0_gmii_txclk_UNCONNECTED, + gmii_txd(7 downto 0) => processing_system7_0_ENET1_GMII_TXD(7 downto 0), gt0_qplloutclk_out => NLW_gig_ethernet_pcs_pma_0_gt0_qplloutclk_out_UNCONNECTED, gt0_qplloutrefclk_out => NLW_gig_ethernet_pcs_pma_0_gt0_qplloutrefclk_out_UNCONNECTED, gtrefclk_bufg_out => NLW_gig_ethernet_pcs_pma_0_gtrefclk_bufg_out_UNCONNECTED, @@ -2512,7 +2512,7 @@ gig_ethernet_pcs_pma_0: component system_design_gig_ethernet_pcs_pma_0_0 rxuserclk2_out => NLW_gig_ethernet_pcs_pma_0_rxuserclk2_out_UNCONNECTED, rxuserclk_out => NLW_gig_ethernet_pcs_pma_0_rxuserclk_out_UNCONNECTED, sgmii_clk_f => NLW_gig_ethernet_pcs_pma_0_sgmii_clk_f_UNCONNECTED, - sgmii_clk_r => NLW_gig_ethernet_pcs_pma_0_sgmii_clk_r_UNCONNECTED, + sgmii_clk_r => gig_ethernet_pcs_pma_0_sgmii_clk_r, signal_detect => drive_constants_dout4(0), status_vector(15 downto 0) => NLW_gig_ethernet_pcs_pma_0_status_vector_UNCONNECTED(15 downto 0), txn => gig_ethernet_pcs_pma_0_sgmii_TXN, @@ -2542,14 +2542,14 @@ processing_system7_0: component system_design_processing_system7_0_0 ENET1_EXT_INTIN => '0', ENET1_GMII_COL => xlconstant_4_dout(0), ENET1_GMII_CRS => xlconstant_6_dout(0), - ENET1_GMII_RXD(7 downto 0) => processing_system7_0_GMII_ETHERNET_1_RXD(7 downto 0), - ENET1_GMII_RX_CLK => processing_system7_0_GMII_ETHERNET_1_RX_CLK, - ENET1_GMII_RX_DV => processing_system7_0_GMII_ETHERNET_1_RX_DV, - ENET1_GMII_RX_ER => processing_system7_0_GMII_ETHERNET_1_RX_ER, - ENET1_GMII_TXD(7 downto 0) => processing_system7_0_GMII_ETHERNET_1_TXD(7 downto 0), - ENET1_GMII_TX_CLK => processing_system7_0_GMII_ETHERNET_1_TX_CLK, - ENET1_GMII_TX_EN(0) => processing_system7_0_GMII_ETHERNET_1_TX_EN(0), - ENET1_GMII_TX_ER(0) => processing_system7_0_GMII_ETHERNET_1_TX_ER(0), + ENET1_GMII_RXD(7 downto 0) => gig_ethernet_pcs_pma_0_gmii_rxd(7 downto 0), + ENET1_GMII_RX_CLK => gig_ethernet_pcs_pma_0_sgmii_clk_r, + ENET1_GMII_RX_DV => gig_ethernet_pcs_pma_0_gmii_rx_dv, + ENET1_GMII_RX_ER => gig_ethernet_pcs_pma_0_gmii_rx_er, + ENET1_GMII_TXD(7 downto 0) => processing_system7_0_ENET1_GMII_TXD(7 downto 0), + ENET1_GMII_TX_CLK => gig_ethernet_pcs_pma_0_sgmii_clk_r, + ENET1_GMII_TX_EN(0) => processing_system7_0_ENET1_GMII_TX_EN(0), + ENET1_GMII_TX_ER(0) => processing_system7_0_ENET1_GMII_TX_ER(0), ENET1_MDIO_I => processing_system7_0_MDIO_ETHERNET_1_MDIO_O, ENET1_MDIO_MDC => processing_system7_0_MDIO_ETHERNET_1_MDC, ENET1_MDIO_O => processing_system7_0_MDIO_ETHERNET_1_MDIO_I, diff --git a/FASEC_prototype.ip_user_files/bd/system_design/ip/system_design_gig_ethernet_pcs_pma_0_0/synth/system_design_gig_ethernet_pcs_pma_0_0.vhd b/FASEC_prototype.ip_user_files/bd/system_design/ip/system_design_gig_ethernet_pcs_pma_0_0/synth/system_design_gig_ethernet_pcs_pma_0_0.vhd index 98ce1a35..ecc25911 100644 --- a/FASEC_prototype.ip_user_files/bd/system_design/ip/system_design_gig_ethernet_pcs_pma_0_0/synth/system_design_gig_ethernet_pcs_pma_0_0.vhd +++ b/FASEC_prototype.ip_user_files/bd/system_design/ip/system_design_gig_ethernet_pcs_pma_0_0/synth/system_design_gig_ethernet_pcs_pma_0_0.vhd @@ -247,7 +247,7 @@ architecture wrapper of system_design_gig_ethernet_pcs_pma_0_0 is end component; ATTRIBUTE CORE_GENERATION_INFO : STRING; -ATTRIBUTE CORE_GENERATION_INFO OF wrapper : ARCHITECTURE IS "system_design_gig_ethernet_pcs_pma_0_0,gig_ethernet_pcs_pma_v15_2_1,{x_ipProduct=Vivado 2016.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=gig_ethernet_pcs_pma,x_ipVersion=15.2,x_ipCoreRevision=1,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,c_elaboration_transient_dir=.,c_component_name=system_design_gig_ethernet_pcs_pma_0_0,c_family=zynq,c_architecture=zynq,c_is_sgmii=true,c_use_transceiver=true,c_use_tbi=false,c_is_2_5g=false,c_use_lvds=false,c_has_an=true,characterization=false,c_has_mdio=true,c_has_ext_mdio=false,c_sgmii_phy_mode=false,c_dynamic_switching=false,c_sgmii_fabric_buffer=true,c_1588=0,gt_rx_byte_width=1,C_EMAC_IF_TEMAC=false,C_PHYADDR=9,EXAMPLE_SIMULATION=0,c_support_level=true,c_RxNibbleBitslice0Used=false,c_tx_in_upper_nibble=1,c_TxLane0_Placement=DIFF_PAIR_0,c_TxLane1_Placement=DIFF_PAIR_1,c_RxLane0_Placement=DIFF_PAIR_0,c_RxLane1_Placement=DIFF_PAIR_1,c_sub_core_name=system_design_gig_ethernet_pcs_pma_0_0_gt,c_transceiver_type=GTXE2,c_gt_type=GTH,c_rx_gmii_clk_src=TXOUTCLK,c_transceivercontrol=false,c_gtinex=false,c_xdevicefamily=xc7z030,c_gt_dmonitorout_width=8,c_gt_drpaddr_width=9,c_gt_txdiffctrl_width=4,c_gt_rxmonitorout_width=7,c_num_of_lanes=1,c_refclkrate=125,c_drpclkrate=50.0,c_enable_tx_userclk_reset_port=false}"; +ATTRIBUTE CORE_GENERATION_INFO OF wrapper : ARCHITECTURE IS "system_design_gig_ethernet_pcs_pma_0_0,gig_ethernet_pcs_pma_v15_2_1,{x_ipProduct=Vivado 2016.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=gig_ethernet_pcs_pma,x_ipVersion=15.2,x_ipCoreRevision=1,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,c_elaboration_transient_dir=.,c_component_name=system_design_gig_ethernet_pcs_pma_0_0,c_family=zynq,c_architecture=zynq,c_is_sgmii=true,c_use_transceiver=true,c_use_tbi=false,c_is_2_5g=false,c_use_lvds=false,c_has_an=true,characterization=false,c_has_mdio=true,c_has_ext_mdio=false,c_sgmii_phy_mode=true,c_dynamic_switching=false,c_sgmii_fabric_buffer=true,c_1588=0,gt_rx_byte_width=1,C_EMAC_IF_TEMAC=false,C_PHYADDR=9,EXAMPLE_SIMULATION=0,c_support_level=true,c_RxNibbleBitslice0Used=false,c_tx_in_upper_nibble=1,c_TxLane0_Placement=DIFF_PAIR_0,c_TxLane1_Placement=DIFF_PAIR_1,c_RxLane0_Placement=DIFF_PAIR_0,c_RxLane1_Placement=DIFF_PAIR_1,c_sub_core_name=system_design_gig_ethernet_pcs_pma_0_0_gt,c_transceiver_type=GTXE2,c_gt_type=GTH,c_rx_gmii_clk_src=TXOUTCLK,c_transceivercontrol=false,c_gtinex=false,c_xdevicefamily=xc7z030,c_gt_dmonitorout_width=8,c_gt_drpaddr_width=9,c_gt_txdiffctrl_width=4,c_gt_rxmonitorout_width=7,c_num_of_lanes=1,c_refclkrate=125,c_drpclkrate=50.0,c_enable_tx_userclk_reset_port=false}"; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF wrapper: ARCHITECTURE IS "gig_ethernet_pcs_pma_v15_2_1,Vivado 2016.2"; diff --git a/FASEC_prototype.ip_user_files/bd/system_design/ip/system_design_gig_ethernet_pcs_pma_0_0/synth/system_design_gig_ethernet_pcs_pma_0_0_block.vhd b/FASEC_prototype.ip_user_files/bd/system_design/ip/system_design_gig_ethernet_pcs_pma_0_0/synth/system_design_gig_ethernet_pcs_pma_0_0_block.vhd index 16311a60..7ea28438 100644 --- a/FASEC_prototype.ip_user_files/bd/system_design/ip/system_design_gig_ethernet_pcs_pma_0_0/synth/system_design_gig_ethernet_pcs_pma_0_0_block.vhd +++ b/FASEC_prototype.ip_user_files/bd/system_design/ip/system_design_gig_ethernet_pcs_pma_0_0/synth/system_design_gig_ethernet_pcs_pma_0_0_block.vhd @@ -595,7 +595,7 @@ phyaddress <= std_logic_vector(to_unsigned(9, phyaddress'length)); C_USE_LVDS => false, C_HAS_AN => true, C_HAS_MDIO => true, - C_SGMII_PHY_MODE => false, + C_SGMII_PHY_MODE => true, C_DYNAMIC_SWITCHING => false, C_SGMII_FABRIC_BUFFER => true, C_1588 => 0, diff --git a/FASEC_prototype.ip_user_files/bd/system_design/ip/system_design_xlconstant_3_1/sim/system_design_xlconstant_3_1.vhd b/FASEC_prototype.ip_user_files/bd/system_design/ip/system_design_xlconstant_3_1/sim/system_design_xlconstant_3_1.vhd index 2b0cc80c..93915276 100644 --- a/FASEC_prototype.ip_user_files/bd/system_design/ip/system_design_xlconstant_3_1/sim/system_design_xlconstant_3_1.vhd +++ b/FASEC_prototype.ip_user_files/bd/system_design/ip/system_design_xlconstant_3_1/sim/system_design_xlconstant_3_1.vhd @@ -48,7 +48,7 @@ ARCHITECTURE system_design_xlconstant_3_1_arch OF system_design_xlconstant_3_1 I BEGIN U0 : xlconstant GENERIC MAP ( - CONST_VAL => "1111101010100001", + CONST_VAL => "0011111010100001", CONST_WIDTH => 16 ) PORT MAP ( diff --git a/FASEC_prototype.ip_user_files/sim_scripts/system_design/activehdl/README.txt b/FASEC_prototype.ip_user_files/sim_scripts/system_design/activehdl/README.txt index b61ba5d1..5dbb98fb 100644 --- a/FASEC_prototype.ip_user_files/sim_scripts/system_design/activehdl/README.txt +++ b/FASEC_prototype.ip_user_files/sim_scripts/system_design/activehdl/README.txt @@ -4,7 +4,7 @@ # README.txt: Please read the sections below to understand the steps required to # run the exported script and information about the source files. # -# Generated by export_simulation on Tue Nov 01 18:11:40 CET 2016 +# Generated by export_simulation on Fri Nov 04 14:33:57 CET 2016 # ################################################################################ diff --git a/FASEC_prototype.ip_user_files/sim_scripts/system_design/activehdl/system_design.sh b/FASEC_prototype.ip_user_files/sim_scripts/system_design/activehdl/system_design.sh index cb16fb69..7ab6856e 100755 --- a/FASEC_prototype.ip_user_files/sim_scripts/system_design/activehdl/system_design.sh +++ b/FASEC_prototype.ip_user_files/sim_scripts/system_design/activehdl/system_design.sh @@ -8,7 +8,7 @@ # directory, add the library logical mappings in the simulator setup file, create default # 'do/prj' file, execute compilation, elaboration and simulation steps. # -# Generated by Vivado on Tue Nov 01 18:11:40 CET 2016 +# Generated by Vivado on Fri Nov 04 14:33:57 CET 2016 # IP Build 1577682 on Fri Jun 3 12:00:54 MDT 2016 # # usage: system_design.sh [-help] diff --git a/FASEC_prototype.ip_user_files/sim_scripts/system_design/ies/README.txt b/FASEC_prototype.ip_user_files/sim_scripts/system_design/ies/README.txt index b61ba5d1..5dbb98fb 100644 --- a/FASEC_prototype.ip_user_files/sim_scripts/system_design/ies/README.txt +++ b/FASEC_prototype.ip_user_files/sim_scripts/system_design/ies/README.txt @@ -4,7 +4,7 @@ # README.txt: Please read the sections below to understand the steps required to # run the exported script and information about the source files. # -# Generated by export_simulation on Tue Nov 01 18:11:40 CET 2016 +# Generated by export_simulation on Fri Nov 04 14:33:57 CET 2016 # ################################################################################ diff --git a/FASEC_prototype.ip_user_files/sim_scripts/system_design/ies/system_design.sh b/FASEC_prototype.ip_user_files/sim_scripts/system_design/ies/system_design.sh index a13dc493..88b81c65 100755 --- a/FASEC_prototype.ip_user_files/sim_scripts/system_design/ies/system_design.sh +++ b/FASEC_prototype.ip_user_files/sim_scripts/system_design/ies/system_design.sh @@ -8,7 +8,7 @@ # directory, add the library logical mappings in the simulator setup file, create default # 'do/prj' file, execute compilation, elaboration and simulation steps. # -# Generated by Vivado on Tue Nov 01 18:11:40 CET 2016 +# Generated by Vivado on Fri Nov 04 14:33:57 CET 2016 # IP Build 1577682 on Fri Jun 3 12:00:54 MDT 2016 # # usage: system_design.sh [-help] diff --git a/FASEC_prototype.ip_user_files/sim_scripts/system_design/modelsim/README.txt b/FASEC_prototype.ip_user_files/sim_scripts/system_design/modelsim/README.txt index b61ba5d1..5dbb98fb 100644 --- a/FASEC_prototype.ip_user_files/sim_scripts/system_design/modelsim/README.txt +++ b/FASEC_prototype.ip_user_files/sim_scripts/system_design/modelsim/README.txt @@ -4,7 +4,7 @@ # README.txt: Please read the sections below to understand the steps required to # run the exported script and information about the source files. # -# Generated by export_simulation on Tue Nov 01 18:11:40 CET 2016 +# Generated by export_simulation on Fri Nov 04 14:33:57 CET 2016 # ################################################################################ diff --git a/FASEC_prototype.ip_user_files/sim_scripts/system_design/modelsim/system_design.sh b/FASEC_prototype.ip_user_files/sim_scripts/system_design/modelsim/system_design.sh index 001cb2be..40f3a7bc 100755 --- a/FASEC_prototype.ip_user_files/sim_scripts/system_design/modelsim/system_design.sh +++ b/FASEC_prototype.ip_user_files/sim_scripts/system_design/modelsim/system_design.sh @@ -8,7 +8,7 @@ # directory, add the library logical mappings in the simulator setup file, create default # 'do/prj' file, execute compilation, elaboration and simulation steps. # -# Generated by Vivado on Tue Nov 01 18:11:40 CET 2016 +# Generated by Vivado on Fri Nov 04 14:33:57 CET 2016 # IP Build 1577682 on Fri Jun 3 12:00:54 MDT 2016 # # usage: system_design.sh [-help] diff --git a/FASEC_prototype.ip_user_files/sim_scripts/system_design/questa/README.txt b/FASEC_prototype.ip_user_files/sim_scripts/system_design/questa/README.txt index b61ba5d1..5dbb98fb 100644 --- a/FASEC_prototype.ip_user_files/sim_scripts/system_design/questa/README.txt +++ b/FASEC_prototype.ip_user_files/sim_scripts/system_design/questa/README.txt @@ -4,7 +4,7 @@ # README.txt: Please read the sections below to understand the steps required to # run the exported script and information about the source files. # -# Generated by export_simulation on Tue Nov 01 18:11:40 CET 2016 +# Generated by export_simulation on Fri Nov 04 14:33:57 CET 2016 # ################################################################################ diff --git a/FASEC_prototype.ip_user_files/sim_scripts/system_design/questa/system_design.sh b/FASEC_prototype.ip_user_files/sim_scripts/system_design/questa/system_design.sh index 4486297d..b9194c90 100755 --- a/FASEC_prototype.ip_user_files/sim_scripts/system_design/questa/system_design.sh +++ b/FASEC_prototype.ip_user_files/sim_scripts/system_design/questa/system_design.sh @@ -8,7 +8,7 @@ # directory, add the library logical mappings in the simulator setup file, create default # 'do/prj' file, execute compilation, elaboration and simulation steps. # -# Generated by Vivado on Tue Nov 01 18:11:40 CET 2016 +# Generated by Vivado on Fri Nov 04 14:33:57 CET 2016 # IP Build 1577682 on Fri Jun 3 12:00:54 MDT 2016 # # usage: system_design.sh [-help] diff --git a/FASEC_prototype.ip_user_files/sim_scripts/system_design/riviera/README.txt b/FASEC_prototype.ip_user_files/sim_scripts/system_design/riviera/README.txt index b61ba5d1..5dbb98fb 100644 --- a/FASEC_prototype.ip_user_files/sim_scripts/system_design/riviera/README.txt +++ b/FASEC_prototype.ip_user_files/sim_scripts/system_design/riviera/README.txt @@ -4,7 +4,7 @@ # README.txt: Please read the sections below to understand the steps required to # run the exported script and information about the source files. # -# Generated by export_simulation on Tue Nov 01 18:11:40 CET 2016 +# Generated by export_simulation on Fri Nov 04 14:33:57 CET 2016 # ################################################################################ diff --git a/FASEC_prototype.ip_user_files/sim_scripts/system_design/riviera/system_design.sh b/FASEC_prototype.ip_user_files/sim_scripts/system_design/riviera/system_design.sh index 9be8c9b5..d536567f 100755 --- a/FASEC_prototype.ip_user_files/sim_scripts/system_design/riviera/system_design.sh +++ b/FASEC_prototype.ip_user_files/sim_scripts/system_design/riviera/system_design.sh @@ -8,7 +8,7 @@ # directory, add the library logical mappings in the simulator setup file, create default # 'do/prj' file, execute compilation, elaboration and simulation steps. # -# Generated by Vivado on Tue Nov 01 18:11:40 CET 2016 +# Generated by Vivado on Fri Nov 04 14:33:57 CET 2016 # IP Build 1577682 on Fri Jun 3 12:00:54 MDT 2016 # # usage: system_design.sh [-help] diff --git a/FASEC_prototype.ip_user_files/sim_scripts/system_design/vcs/README.txt b/FASEC_prototype.ip_user_files/sim_scripts/system_design/vcs/README.txt index b61ba5d1..5dbb98fb 100644 --- a/FASEC_prototype.ip_user_files/sim_scripts/system_design/vcs/README.txt +++ b/FASEC_prototype.ip_user_files/sim_scripts/system_design/vcs/README.txt @@ -4,7 +4,7 @@ # README.txt: Please read the sections below to understand the steps required to # run the exported script and information about the source files. # -# Generated by export_simulation on Tue Nov 01 18:11:40 CET 2016 +# Generated by export_simulation on Fri Nov 04 14:33:57 CET 2016 # ################################################################################ diff --git a/FASEC_prototype.ip_user_files/sim_scripts/system_design/vcs/system_design.sh b/FASEC_prototype.ip_user_files/sim_scripts/system_design/vcs/system_design.sh index a45b0357..1e3f7816 100755 --- a/FASEC_prototype.ip_user_files/sim_scripts/system_design/vcs/system_design.sh +++ b/FASEC_prototype.ip_user_files/sim_scripts/system_design/vcs/system_design.sh @@ -8,7 +8,7 @@ # directory, add the library logical mappings in the simulator setup file, create default # 'do/prj' file, execute compilation, elaboration and simulation steps. # -# Generated by Vivado on Tue Nov 01 18:11:40 CET 2016 +# Generated by Vivado on Fri Nov 04 14:33:57 CET 2016 # IP Build 1577682 on Fri Jun 3 12:00:54 MDT 2016 # # usage: system_design.sh [-help] diff --git a/FASEC_prototype.ip_user_files/sim_scripts/system_design/xsim/README.txt b/FASEC_prototype.ip_user_files/sim_scripts/system_design/xsim/README.txt index b61ba5d1..5dbb98fb 100644 --- a/FASEC_prototype.ip_user_files/sim_scripts/system_design/xsim/README.txt +++ b/FASEC_prototype.ip_user_files/sim_scripts/system_design/xsim/README.txt @@ -4,7 +4,7 @@ # README.txt: Please read the sections below to understand the steps required to # run the exported script and information about the source files. # -# Generated by export_simulation on Tue Nov 01 18:11:40 CET 2016 +# Generated by export_simulation on Fri Nov 04 14:33:57 CET 2016 # ################################################################################ diff --git a/FASEC_prototype.ip_user_files/sim_scripts/system_design/xsim/system_design.sh b/FASEC_prototype.ip_user_files/sim_scripts/system_design/xsim/system_design.sh index ec5ab61a..fced2872 100755 --- a/FASEC_prototype.ip_user_files/sim_scripts/system_design/xsim/system_design.sh +++ b/FASEC_prototype.ip_user_files/sim_scripts/system_design/xsim/system_design.sh @@ -8,7 +8,7 @@ # directory, add the library logical mappings in the simulator setup file, create default # 'do/prj' file, execute compilation, elaboration and simulation steps. # -# Generated by Vivado on Tue Nov 01 18:11:40 CET 2016 +# Generated by Vivado on Fri Nov 04 14:33:57 CET 2016 # IP Build 1577682 on Fri Jun 3 12:00:54 MDT 2016 # # usage: system_design.sh [-help] diff --git a/FASEC_prototype.sdk2/.metadata/.log b/FASEC_prototype.sdk2/.metadata/.log index 0a7c8a5a..a7905c68 100644 --- a/FASEC_prototype.sdk2/.metadata/.log +++ b/FASEC_prototype.sdk2/.metadata/.log @@ -11950,3 +11950,6068 @@ Resolution: If [get_<value>] was used to populate the object, check to make sure !ENTRY com.xilinx.sdk.utils 0 0 2016-11-02 08:07:05.701 !MESSAGE XSDB command with result: [::hsi::utils::closesw /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.sdk2/standalone_bsp_1/system.mss], Result: [null, ]. Thread: main +!SESSION 2016-11-03 17:48:52.690 ----------------------------------------------- +eclipse.buildId=2016.2 +java.version=1.8.0_66 +java.vendor=Oracle Corporation +BootLoader constants: OS=linux, ARCH=x86_64, WS=gtk, NL=en_US +Command-line arguments: -os linux -ws gtk -arch x86_64 -data /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.sdk2 + +!ENTRY com.xilinx.sdk.utils 0 0 2016-11-03 17:49:02.466 +!MESSAGE XSDB Command: [::hsi::utils::openhw /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.sdk2/system_design_wrapper_hw_platform_0/system.hdf], Thread: main + +!ENTRY com.xilinx.sdk.utils 0 0 2016-11-03 17:49:05.594 +!MESSAGE XSDB command with result: [::hsi::utils::openhw /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.sdk2/system_design_wrapper_hw_platform_0/system.hdf], Result: [null, ]. Thread: main + +!ENTRY com.xilinx.sdk.utils 0 0 2016-11-03 17:49:05.607 +!MESSAGE XSDB Command: [::hsi::utils::get_design_properties -json /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.sdk2/system_design_wrapper_hw_platform_0/system.hdf], Thread: main + +!ENTRY com.xilinx.sdk.utils 0 0 2016-11-03 17:49:05.609 +!MESSAGE XSDB command with result: [::hsi::utils::get_design_properties -json /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.sdk2/system_design_wrapper_hw_platform_0/system.hdf], Result: [null, {"device": "7z030", +"family": "zynq", +"timestamp": "Tue Nov 1 18:11:36 2016", +"vivado_version": "2016.2", +}]. Thread: main + +!ENTRY com.xilinx.sdk.utils 0 0 2016-11-03 17:49:05.618 +!MESSAGE XSDB Command: [::hsi::utils::get_all_periphs -json /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.sdk2/system_design_wrapper_hw_platform_0/system.hdf], Thread: main + +!ENTRY com.xilinx.sdk.utils 0 0 2016-11-03 17:49:05.644 +!MESSAGE XSDB command with result: [::hsi::utils::get_all_periphs -json /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.sdk2/system_design_wrapper_hw_platform_0/system.hdf], Result: [null, {"axi_wb_i2c_master_0": {"hier_name": "axi_wb_i2c_master_0", +"type": "axi_wb_i2c_master", +"version": "2.5", +"ip_type": "PERIPHERAL", +}, +"axi_wb_i2c_master_1": {"hier_name": "axi_wb_i2c_master_1", +"type": "axi_wb_i2c_master", +"version": "2.5", +"ip_type": "PERIPHERAL", +}, +"axi_wb_i2c_master_2": {"hier_name": "axi_wb_i2c_master_2", +"type": "axi_wb_i2c_master", +"version": "2.5", +"ip_type": "PERIPHERAL", +}, +"drive_constants_xlconstant_0": {"hier_name": "drive_constants_xlconstant_0", +"type": "xlconstant", +"version": "1.1", +"ip_type": "PERIPHERAL", +}, +"drive_constants_xlconstant_1": {"hier_name": "drive_constants_xlconstant_1", +"type": "xlconstant", +"version": "1.1", +"ip_type": "PERIPHERAL", +}, +"drive_constants_xlconstant_2": {"hier_name": "drive_constants_xlconstant_2", +"type": "xlconstant", +"version": "1.1", +"ip_type": "PERIPHERAL", +}, +"drive_constants_xlconstant_3": {"hier_name": "drive_constants_xlconstant_3", +"type": "xlconstant", +"version": "1.1", +"ip_type": "PERIPHERAL", +}, +"drive_constants_xlconstant_5": {"hier_name": "drive_constants_xlconstant_5", +"type": "xlconstant", +"version": "1.1", +"ip_type": "PERIPHERAL", +}, +"drive_constants_xlconstant_7": {"hier_name": "drive_constants_xlconstant_7", +"type": "xlconstant", +"version": "1.1", +"ip_type": "PERIPHERAL", +}, +"fasec_hwtest_0": {"hier_name": "fasec_hwtest_0", +"type": "fasec_hwtest", +"version": "2.5.2", +"ip_type": "PERIPHERAL", +}, +"gig_ethernet_pcs_pma_0": {"hier_name": "gig_ethernet_pcs_pma_0", +"type": "gig_ethernet_pcs_pma", +"version": "15.2", +"ip_type": "PERIPHERAL", +}, +"processing_system7_0": {"hier_name": "processing_system7_0", +"type": "processing_system7", +"version": "5.5", +"ip_type": "", +}, +"processing_system7_0_axi_periph": {"hier_name": "processing_system7_0_axi_periph", +"type": "axi_interconnect", +"version": "2.1", +"ip_type": "BUS", +}, +"rst_processing_system7_0_100M": {"hier_name": "rst_processing_system7_0_100M", +"type": "proc_sys_reset", +"version": "5.0", +"ip_type": "PERIPHERAL", +}, +"xlconstant_4": {"hier_name": "xlconstant_4", +"type": "xlconstant", +"version": "1.1", +"ip_type": "PERIPHERAL", +}, +"xlconstant_6": {"hier_name": "xlconstant_6", +"type": "xlconstant", +"version": "1.1", +"ip_type": "PERIPHERAL", +}, +"ps7_clockc_0": {"hier_name": "ps7_clockc_0", +"type": "ps7_clockc", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_uart_1": {"hier_name": "ps7_uart_1", +"type": "ps7_uart", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_pl310_0": {"hier_name": "ps7_pl310_0", +"type": "ps7_pl310", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_pmu_0": {"hier_name": "ps7_pmu_0", +"type": "ps7_pmu", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_qspi_0": {"hier_name": "ps7_qspi_0", +"type": "ps7_qspi", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_qspi_linear_0": {"hier_name": "ps7_qspi_linear_0", +"type": "ps7_qspi_linear", +"version": "1.00.a", +"ip_type": "MEMORY_CNTLR", +}, +"ps7_axi_interconnect_0": {"hier_name": "ps7_axi_interconnect_0", +"type": "ps7_axi_interconnect", +"version": "1.00.a", +"ip_type": "BUS", +}, +"ps7_cortexa9_0": {"hier_name": "ps7_cortexa9_0", +"type": "ps7_cortexa9", +"version": "5.2", +"ip_type": "PROCESSOR", +}, +"ps7_cortexa9_1": {"hier_name": "ps7_cortexa9_1", +"type": "ps7_cortexa9", +"version": "5.2", +"ip_type": "PROCESSOR", +}, +"ps7_ddr_0": {"hier_name": "ps7_ddr_0", +"type": "ps7_ddr", +"version": "1.00.a", +"ip_type": "MEMORY_CNTLR", +}, +"ps7_ethernet_0": {"hier_name": "ps7_ethernet_0", +"type": "ps7_ethernet", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_ethernet_1": {"hier_name": "ps7_ethernet_1", +"type": "ps7_ethernet", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_sd_0": {"hier_name": "ps7_sd_0", +"type": "ps7_sdio", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_i2c_0": {"hier_name": "ps7_i2c_0", +"type": "ps7_i2c", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_ttc_0": {"hier_name": "ps7_ttc_0", +"type": "ps7_ttc", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_gpio_0": {"hier_name": "ps7_gpio_0", +"type": "ps7_gpio", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_ddrc_0": {"hier_name": "ps7_ddrc_0", +"type": "ps7_ddrc", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_dev_cfg_0": {"hier_name": "ps7_dev_cfg_0", +"type": "ps7_dev_cfg", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_xadc_0": {"hier_name": "ps7_xadc_0", +"type": "ps7_xadc", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_ocmc_0": {"hier_name": "ps7_ocmc_0", +"type": "ps7_ocmc", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_coresight_comp_0": {"hier_name": "ps7_coresight_comp_0", +"type": "ps7_coresight_comp", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_gpv_0": {"hier_name": "ps7_gpv_0", +"type": "ps7_gpv", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_scuc_0": {"hier_name": "ps7_scuc_0", +"type": "ps7_scuc", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_globaltimer_0": {"hier_name": "ps7_globaltimer_0", +"type": "ps7_globaltimer", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_intc_dist_0": {"hier_name": "ps7_intc_dist_0", +"type": "ps7_intc_dist", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_l2cachec_0": {"hier_name": "ps7_l2cachec_0", +"type": "ps7_l2cachec", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_dma_s": {"hier_name": "ps7_dma_s", +"type": "ps7_dma", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_iop_bus_config_0": {"hier_name": "ps7_iop_bus_config_0", +"type": "ps7_iop_bus_config", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_ram_0": {"hier_name": "ps7_ram_0", +"type": "ps7_ram", +"version": "1.00.a", +"ip_type": "MEMORY_CNTLR", +}, +"ps7_ram_1": {"hier_name": "ps7_ram_1", +"type": "ps7_ram", +"version": "1.00.a", +"ip_type": "MEMORY_CNTLR", +}, +"ps7_scugic_0": {"hier_name": "ps7_scugic_0", +"type": "ps7_scugic", +"version": "1.00.a", +"ip_type": "INTERRUPT_CNTLR", +}, +"ps7_scutimer_0": {"hier_name": "ps7_scutimer_0", +"type": "ps7_scutimer", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_scuwdt_0": {"hier_name": "ps7_scuwdt_0", +"type": "ps7_scuwdt", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_slcr_0": {"hier_name": "ps7_slcr_0", +"type": "ps7_slcr", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_dma_ns": {"hier_name": "ps7_dma_ns", +"type": "ps7_dma", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_afi_0": {"hier_name": "ps7_afi_0", +"type": "ps7_afi", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_afi_1": {"hier_name": "ps7_afi_1", +"type": "ps7_afi", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_afi_2": {"hier_name": "ps7_afi_2", +"type": "ps7_afi", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_afi_3": {"hier_name": "ps7_afi_3", +"type": "ps7_afi", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_m_axi_gp0": {"hier_name": "ps7_m_axi_gp0", +"type": "ps7_m_axi_gp", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +}]. Thread: main + +!ENTRY com.xilinx.sdk.utils 0 0 2016-11-03 17:49:05.657 +!MESSAGE XSDB Command: [::hsi::utils::get_addr_ranges -json /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.sdk2/system_design_wrapper_hw_platform_0/system.hdf ps7_cortexa9_0], Thread: main + +!ENTRY com.xilinx.sdk.utils 0 0 2016-11-03 17:49:05.679 +!MESSAGE XSDB command with result: [::hsi::utils::get_addr_ranges -json /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.sdk2/system_design_wrapper_hw_platform_0/system.hdf ps7_cortexa9_0], Result: [null, {"axi_wb_i2c_master_0_S00_AXI": {"name": "axi_wb_i2c_master_0", +"base": "0x43C00000", +"high": "0x43C0FFFF", +"size": "65536", +"slaveintf": "S00_AXI", +"type": "REGISTER", +"flags": "3", +}, +"axi_wb_i2c_master_1_S00_AXI": {"name": "axi_wb_i2c_master_1", +"base": "0x43C10000", +"high": "0x43C1FFFF", +"size": "65536", +"slaveintf": "S00_AXI", +"type": "REGISTER", +"flags": "3", +}, +"fasec_hwtest_0_S00_AXI": {"name": "fasec_hwtest_0", +"base": "0x43C20000", +"high": "0x43C2FFFF", +"size": "65536", +"slaveintf": "S00_AXI", +"type": "REGISTER", +"flags": "3", +}, +"axi_wb_i2c_master_2_S00_AXI": {"name": "axi_wb_i2c_master_2", +"base": "0x43C30000", +"high": "0x43C3FFFF", +"size": "65536", +"slaveintf": "S00_AXI", +"type": "REGISTER", +"flags": "3", +}, +"ps7_afi_0": {"name": "ps7_afi_0", +"base": "0xF8008000", +"high": "0xF8008FFF", +"size": "4096", +"slaveintf": "", +"type": "REGISTER", +"flags": "3", +}, +"ps7_afi_1": {"name": "ps7_afi_1", +"base": "0xF8009000", +"high": "0xF8009FFF", +"size": "4096", +"slaveintf": "", +"type": "REGISTER", +"flags": "3", +}, +"ps7_afi_2": {"name": "ps7_afi_2", +"base": "0xF800A000", +"high": "0xF800AFFF", +"size": "4096", +"slaveintf": "", +"type": "REGISTER", +"flags": "3", +}, +"ps7_afi_3": {"name": "ps7_afi_3", +"base": "0xF800B000", +"high": "0xF800BFFF", +"size": "4096", +"slaveintf": "", +"type": "REGISTER", +"flags": "3", +}, +"ps7_coresight_comp_0": {"name": "ps7_coresight_comp_0", +"base": "0xF8800000", +"high": "0xF88FFFFF", +"size": "1048576", +"slaveintf": "", +"type": "REGISTER", +"flags": "3", +}, +"ps7_ddrc_0": {"name": "ps7_ddrc_0", +"base": "0xF8006000", +"high": "0xF8006FFF", +"size": "4096", +"slaveintf": "", +"type": "REGISTER", +"flags": "3", +}, +"ps7_dev_cfg_0": {"name": "ps7_dev_cfg_0", +"base": "0xF8007000", +"high": "0xF80070FF", +"size": "256", +"slaveintf": "", +"type": "REGISTER", +"flags": "3", +}, +"ps7_dma_ns": {"name": "ps7_dma_ns", +"base": "0xF8004000", +"high": "0xF8004FFF", +"size": "4096", +"slaveintf": "", +"type": "REGISTER", +"flags": "3", +}, +"ps7_dma_s": {"name": "ps7_dma_s", +"base": "0xF8003000", +"high": "0xF8003FFF", +"size": "4096", +"slaveintf": "", +"type": "REGISTER", +"flags": "3", +}, +"ps7_ethernet_0": {"name": "ps7_ethernet_0", +"base": "0xE000B000", +"high": "0xE000BFFF", +"size": "4096", +"slaveintf": "", +"type": "REGISTER", +"flags": "3", +}, +"ps7_ethernet_1": {"name": "ps7_ethernet_1", +"base": "0xE000C000", +"high": "0xE000CFFF", +"size": "4096", +"slaveintf": "", +"type": "REGISTER", +"flags": "3", +}, +"ps7_globaltimer_0": {"name": "ps7_globaltimer_0", +"base": "0xF8F00200", +"high": "0xF8F002FF", +"size": "256", +"slaveintf": "", +"type": "REGISTER", +"flags": "3", +}, +"ps7_gpio_0": {"name": "ps7_gpio_0", +"base": "0xE000A000", +"high": "0xE000AFFF", +"size": "4096", +"slaveintf": "", +"type": "REGISTER", +"flags": "3", +}, +"ps7_gpv_0": {"name": "ps7_gpv_0", +"base": "0xF8900000", +"high": "0xF89FFFFF", +"size": "1048576", +"slaveintf": "", +"type": "REGISTER", +"flags": "3", +}, +"ps7_i2c_0": {"name": "ps7_i2c_0", +"base": "0xE0004000", +"high": "0xE0004FFF", +"size": "4096", +"slaveintf": "", +"type": "REGISTER", +"flags": "3", +}, +"ps7_intc_dist_0": {"name": "ps7_intc_dist_0", +"base": "0xF8F01000", +"high": "0xF8F01FFF", +"size": "4096", +"slaveintf": "", +"type": "REGISTER", +"flags": "3", +}, +"ps7_iop_bus_config_0": {"name": "ps7_iop_bus_config_0", +"base": "0xE0200000", +"high": "0xE0200FFF", +"size": "4096", +"slaveintf": "", +"type": "REGISTER", +"flags": "3", +}, +"ps7_l2cachec_0": {"name": "ps7_l2cachec_0", +"base": "0xF8F02000", +"high": "0xF8F02FFF", +"size": "4096", +"slaveintf": "", +"type": "REGISTER", +"flags": "3", +}, +"ps7_ocmc_0": {"name": "ps7_ocmc_0", +"base": "0xF800C000", +"high": "0xF800CFFF", +"size": "4096", +"slaveintf": "", +"type": "REGISTER", +"flags": "3", +}, +"ps7_pl310_0": {"name": "ps7_pl310_0", +"base": "0xF8F02000", +"high": "0xF8F02FFF", +"size": "4096", +"slaveintf": "", +"type": "REGISTER", +"flags": "3", +}, +"ps7_pmu_0": {"name": "ps7_pmu_0", +"base": "0xF8893000", +"high": "0xF8893FFF", +"size": "4096", +"slaveintf": "", +"type": "REGISTER", +"flags": "3", +}, +"ps7_qspi_0": {"name": "ps7_qspi_0", +"base": "0xE000D000", +"high": "0xE000DFFF", +"size": "4096", +"slaveintf": "", +"type": "REGISTER", +"flags": "3", +}, +"ps7_scuc_0": {"name": "ps7_scuc_0", +"base": "0xF8F00000", +"high": "0xF8F000FC", +"size": "253", +"slaveintf": "", +"type": "REGISTER", +"flags": "3", +}, +"ps7_scugic_0": {"name": "ps7_scugic_0", +"base": "0xF8F00100", +"high": "0xF8F001FF", +"size": "256", +"slaveintf": "", +"type": "REGISTER", +"flags": "3", +}, +"ps7_scutimer_0": {"name": "ps7_scutimer_0", +"base": "0xF8F00600", +"high": "0xF8F0061F", +"size": "32", +"slaveintf": "", +"type": "REGISTER", +"flags": "3", +}, +"ps7_scuwdt_0": {"name": "ps7_scuwdt_0", +"base": "0xF8F00620", +"high": "0xF8F006FF", +"size": "224", +"slaveintf": "", +"type": "REGISTER", +"flags": "3", +}, +"ps7_sd_0": {"name": "ps7_sd_0", +"base": "0xE0100000", +"high": "0xE0100FFF", +"size": "4096", +"slaveintf": "", +"type": "REGISTER", +"flags": "3", +}, +"ps7_slcr_0": {"name": "ps7_slcr_0", +"base": "0xF8000000", +"high": "0xF8000FFF", +"size": "4096", +"slaveintf": "", +"type": "REGISTER", +"flags": "3", +}, +"ps7_ttc_0": {"name": "ps7_ttc_0", +"base": "0xF8001000", +"high": "0xF8001FFF", +"size": "4096", +"slaveintf": "", +"type": "REGISTER", +"flags": "3", +}, +"ps7_uart_1": {"name": "ps7_uart_1", +"base": "0xE0001000", +"high": "0xE0001FFF", +"size": "4096", +"slaveintf": "", +"type": "REGISTER", +"flags": "3", +}, +"ps7_xadc_0": {"name": "ps7_xadc_0", +"base": "0xF8007100", +"high": "0xF8007120", +"size": "33", +"slaveintf": "", +"type": "REGISTER", +"flags": "3", +}, +"ps7_qspi_linear_0": {"name": "ps7_qspi_linear_0", +"base": "0xFC000000", +"high": "0xFDFFFFFF", +"size": "33554432", +"slaveintf": "", +"type": "MEMORY", +"flags": "5", +}, +"ps7_ddr_0": {"name": "ps7_ddr_0", +"base": "0x00100000", +"high": "0x3FFFFFFF", +"size": "1072693248", +"slaveintf": "", +"type": "MEMORY", +"flags": "7", +}, +"ps7_ram_0": {"name": "ps7_ram_0", +"base": "0x00000000", +"high": "0x0002FFFF", +"size": "196608", +"slaveintf": "", +"type": "MEMORY", +"flags": "7", +}, +"ps7_ram_1": {"name": "ps7_ram_1", +"base": "0xFFFF0000", +"high": "0xFFFFFDFF", +"size": "65024", +"slaveintf": "", +"type": "MEMORY", +"flags": "7", +}, +}]. Thread: main + +!ENTRY com.xilinx.sdk.utils 0 0 2016-11-03 17:49:05.922 +!MESSAGE XSDB Command: [::hsi::utils::get_addr_ranges -json /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.sdk2/system_design_wrapper_hw_platform_0/system.hdf ps7_cortexa9_1], Thread: main + +!ENTRY com.xilinx.sdk.utils 0 0 2016-11-03 17:49:05.966 +!MESSAGE XSDB command with result: [::hsi::utils::get_addr_ranges -json /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.sdk2/system_design_wrapper_hw_platform_0/system.hdf ps7_cortexa9_1], Result: [null, {"axi_wb_i2c_master_0_S00_AXI": {"name": "axi_wb_i2c_master_0", +"base": "0x43C00000", +"high": "0x43C0FFFF", +"size": "65536", +"slaveintf": "S00_AXI", +"type": "REGISTER", +"flags": "3", +}, +"axi_wb_i2c_master_1_S00_AXI": {"name": "axi_wb_i2c_master_1", +"base": "0x43C10000", +"high": "0x43C1FFFF", +"size": "65536", +"slaveintf": "S00_AXI", +"type": "REGISTER", +"flags": "3", +}, +"fasec_hwtest_0_S00_AXI": {"name": "fasec_hwtest_0", +"base": "0x43C20000", +"high": "0x43C2FFFF", +"size": "65536", +"slaveintf": "S00_AXI", +"type": "REGISTER", +"flags": "3", +}, +"axi_wb_i2c_master_2_S00_AXI": {"name": "axi_wb_i2c_master_2", +"base": "0x43C30000", +"high": "0x43C3FFFF", +"size": "65536", +"slaveintf": "S00_AXI", +"type": "REGISTER", +"flags": "3", +}, +"ps7_afi_0": {"name": "ps7_afi_0", +"base": "0xF8008000", +"high": "0xF8008FFF", +"size": "4096", +"slaveintf": "", +"type": "REGISTER", +"flags": "3", +}, +"ps7_afi_1": {"name": "ps7_afi_1", +"base": "0xF8009000", +"high": "0xF8009FFF", +"size": "4096", +"slaveintf": "", +"type": "REGISTER", +"flags": "3", +}, +"ps7_afi_2": {"name": "ps7_afi_2", +"base": "0xF800A000", +"high": "0xF800AFFF", +"size": "4096", +"slaveintf": "", +"type": "REGISTER", +"flags": "3", +}, +"ps7_afi_3": {"name": "ps7_afi_3", +"base": "0xF800B000", +"high": "0xF800BFFF", +"size": "4096", +"slaveintf": "", +"type": "REGISTER", +"flags": "3", +}, +"ps7_coresight_comp_0": {"name": "ps7_coresight_comp_0", +"base": "0xF8800000", +"high": "0xF88FFFFF", +"size": "1048576", +"slaveintf": "", +"type": "REGISTER", +"flags": "3", +}, +"ps7_ddrc_0": {"name": "ps7_ddrc_0", +"base": "0xF8006000", +"high": "0xF8006FFF", +"size": "4096", +"slaveintf": "", +"type": "REGISTER", +"flags": "3", +}, +"ps7_dev_cfg_0": {"name": "ps7_dev_cfg_0", +"base": "0xF8007000", +"high": "0xF80070FF", +"size": "256", +"slaveintf": "", +"type": "REGISTER", +"flags": "3", +}, +"ps7_dma_ns": {"name": "ps7_dma_ns", +"base": "0xF8004000", +"high": "0xF8004FFF", +"size": "4096", +"slaveintf": "", +"type": "REGISTER", +"flags": "3", +}, +"ps7_dma_s": {"name": "ps7_dma_s", +"base": "0xF8003000", +"high": "0xF8003FFF", +"size": "4096", +"slaveintf": "", +"type": "REGISTER", +"flags": "3", +}, +"ps7_ethernet_0": {"name": "ps7_ethernet_0", +"base": "0xE000B000", +"high": "0xE000BFFF", +"size": "4096", +"slaveintf": "", +"type": "REGISTER", +"flags": "3", +}, +"ps7_ethernet_1": {"name": "ps7_ethernet_1", +"base": "0xE000C000", +"high": "0xE000CFFF", +"size": "4096", +"slaveintf": "", +"type": "REGISTER", +"flags": "3", +}, +"ps7_globaltimer_0": {"name": "ps7_globaltimer_0", +"base": "0xF8F00200", +"high": "0xF8F002FF", +"size": "256", +"slaveintf": "", +"type": "REGISTER", +"flags": "3", +}, +"ps7_gpio_0": {"name": "ps7_gpio_0", +"base": "0xE000A000", +"high": "0xE000AFFF", +"size": "4096", +"slaveintf": "", +"type": "REGISTER", +"flags": "3", +}, +"ps7_gpv_0": {"name": "ps7_gpv_0", +"base": "0xF8900000", +"high": "0xF89FFFFF", +"size": "1048576", +"slaveintf": "", +"type": "REGISTER", +"flags": "3", +}, +"ps7_i2c_0": {"name": "ps7_i2c_0", +"base": "0xE0004000", +"high": "0xE0004FFF", +"size": "4096", +"slaveintf": "", +"type": "REGISTER", +"flags": "3", +}, +"ps7_intc_dist_0": {"name": "ps7_intc_dist_0", +"base": "0xF8F01000", +"high": "0xF8F01FFF", +"size": "4096", +"slaveintf": "", +"type": "REGISTER", +"flags": "3", +}, +"ps7_iop_bus_config_0": {"name": "ps7_iop_bus_config_0", +"base": "0xE0200000", +"high": "0xE0200FFF", +"size": "4096", +"slaveintf": "", +"type": "REGISTER", +"flags": "3", +}, +"ps7_l2cachec_0": {"name": "ps7_l2cachec_0", +"base": "0xF8F02000", +"high": "0xF8F02FFF", +"size": "4096", +"slaveintf": "", +"type": "REGISTER", +"flags": "3", +}, +"ps7_ocmc_0": {"name": "ps7_ocmc_0", +"base": "0xF800C000", +"high": "0xF800CFFF", +"size": "4096", +"slaveintf": "", +"type": "REGISTER", +"flags": "3", +}, +"ps7_pl310_0": {"name": "ps7_pl310_0", +"base": "0xF8F02000", +"high": "0xF8F02FFF", +"size": "4096", +"slaveintf": "", +"type": "REGISTER", +"flags": "3", +}, +"ps7_pmu_0": {"name": "ps7_pmu_0", +"base": "0xF8893000", +"high": "0xF8893FFF", +"size": "4096", +"slaveintf": "", +"type": "REGISTER", +"flags": "3", +}, +"ps7_qspi_0": {"name": "ps7_qspi_0", +"base": "0xE000D000", +"high": "0xE000DFFF", +"size": "4096", +"slaveintf": "", +"type": "REGISTER", +"flags": "3", +}, +"ps7_scuc_0": {"name": "ps7_scuc_0", +"base": "0xF8F00000", +"high": "0xF8F000FC", +"size": "253", +"slaveintf": "", +"type": "REGISTER", +"flags": "3", +}, +"ps7_scugic_0": {"name": "ps7_scugic_0", +"base": "0xF8F00100", +"high": "0xF8F001FF", +"size": "256", +"slaveintf": "", +"type": "REGISTER", +"flags": "3", +}, +"ps7_scutimer_0": {"name": "ps7_scutimer_0", +"base": "0xF8F00600", +"high": "0xF8F0061F", +"size": "32", +"slaveintf": "", +"type": "REGISTER", +"flags": "3", +}, +"ps7_scuwdt_0": {"name": "ps7_scuwdt_0", +"base": "0xF8F00620", +"high": "0xF8F006FF", +"size": "224", +"slaveintf": "", +"type": "REGISTER", +"flags": "3", +}, +"ps7_sd_0": {"name": "ps7_sd_0", +"base": "0xE0100000", +"high": "0xE0100FFF", +"size": "4096", +"slaveintf": "", +"type": "REGISTER", +"flags": "3", +}, +"ps7_slcr_0": {"name": "ps7_slcr_0", +"base": "0xF8000000", +"high": "0xF8000FFF", +"size": "4096", +"slaveintf": "", +"type": "REGISTER", +"flags": "3", +}, +"ps7_ttc_0": {"name": "ps7_ttc_0", +"base": "0xF8001000", +"high": "0xF8001FFF", +"size": "4096", +"slaveintf": "", +"type": "REGISTER", +"flags": "3", +}, +"ps7_uart_1": {"name": "ps7_uart_1", +"base": "0xE0001000", +"high": "0xE0001FFF", +"size": "4096", +"slaveintf": "", +"type": "REGISTER", +"flags": "3", +}, +"ps7_xadc_0": {"name": "ps7_xadc_0", +"base": "0xF8007100", +"high": "0xF8007120", +"size": "33", +"slaveintf": "", +"type": "REGISTER", +"flags": "3", +}, +"ps7_qspi_linear_0": {"name": "ps7_qspi_linear_0", +"base": "0xFC000000", +"high": "0xFDFFFFFF", +"size": "33554432", +"slaveintf": "", +"type": "MEMORY", +"flags": "5", +}, +"ps7_ddr_0": {"name": "ps7_ddr_0", +"base": "0x00100000", +"high": "0x3FFFFFFF", +"size": "1072693248", +"slaveintf": "", +"type": "MEMORY", +"flags": "7", +}, +"ps7_ram_0": {"name": "ps7_ram_0", +"base": "0x00000000", +"high": "0x0002FFFF", +"size": "196608", +"slaveintf": "", +"type": "MEMORY", +"flags": "7", +}, +"ps7_ram_1": {"name": "ps7_ram_1", +"base": "0xFFFF0000", +"high": "0xFFFFFDFF", +"size": "65024", +"slaveintf": "", +"type": "MEMORY", +"flags": "7", +}, +}]. Thread: main + +!ENTRY com.xilinx.sdk.utils 0 0 2016-11-03 17:49:06.235 +!MESSAGE XSDB Command: [::hsi::utils::get_all_register_data -json /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.sdk2/system_design_wrapper_hw_platform_0/system.hdf ps7_cortexa9_0], Thread: main + +!ENTRY com.xilinx.sdk.utils 0 0 2016-11-03 17:49:06.245 +!MESSAGE XSDB command with result: [::hsi::utils::get_all_register_data -json /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.sdk2/system_design_wrapper_hw_platform_0/system.hdf ps7_cortexa9_0], Result: [null, {"axi_wb_i2c_master_0": {}, +"axi_wb_i2c_master_1": {}, +"axi_wb_i2c_master_2": {}, +"fasec_hwtest_0": {}, +"ps7_afi_0": {}, +"ps7_afi_1": {}, +"ps7_afi_2": {}, +"ps7_afi_3": {}, +"ps7_coresight_comp_0": {}, +"ps7_ddr_0": {}, +"ps7_ddrc_0": {}, +"ps7_dev_cfg_0": {}, +"ps7_dma_ns": {}, +"ps7_dma_s": {}, +"ps7_ethernet_0": {}, +"ps7_ethernet_1": {}, +"ps7_globaltimer_0": {}, +"ps7_gpio_0": {}, +"ps7_gpv_0": {}, +"ps7_i2c_0": {}, +"ps7_intc_dist_0": {}, +"ps7_iop_bus_config_0": {}, +"ps7_l2cachec_0": {}, +"ps7_ocmc_0": {}, +"ps7_pl310_0": {}, +"ps7_pmu_0": {}, +"ps7_qspi_0": {}, +"ps7_qspi_linear_0": {}, +"ps7_ram_0": {}, +"ps7_ram_1": {}, +"ps7_scuc_0": {}, +"ps7_scugic_0": {}, +"ps7_scutimer_0": {}, +"ps7_scuwdt_0": {}, +"ps7_sd_0": {}, +"ps7_slcr_0": {}, +"ps7_ttc_0": {}, +"ps7_uart_1": {}, +"ps7_xadc_0": {}, +}]. Thread: main + +!ENTRY com.xilinx.sdk.utils 0 0 2016-11-03 17:49:06.246 +!MESSAGE XSDB Command: [::hsi::utils::get_all_register_data -json /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.sdk2/system_design_wrapper_hw_platform_0/system.hdf ps7_cortexa9_1], Thread: main + +!ENTRY com.xilinx.sdk.utils 0 0 2016-11-03 17:49:06.256 +!MESSAGE XSDB command with result: [::hsi::utils::get_all_register_data -json /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.sdk2/system_design_wrapper_hw_platform_0/system.hdf ps7_cortexa9_1], Result: [null, {"axi_wb_i2c_master_0": {}, +"axi_wb_i2c_master_1": {}, +"axi_wb_i2c_master_2": {}, +"fasec_hwtest_0": {}, +"ps7_afi_0": {}, +"ps7_afi_1": {}, +"ps7_afi_2": {}, +"ps7_afi_3": {}, +"ps7_coresight_comp_0": {}, +"ps7_ddr_0": {}, +"ps7_ddrc_0": {}, +"ps7_dev_cfg_0": {}, +"ps7_dma_ns": {}, +"ps7_dma_s": {}, +"ps7_ethernet_0": {}, +"ps7_ethernet_1": {}, +"ps7_globaltimer_0": {}, +"ps7_gpio_0": {}, +"ps7_gpv_0": {}, +"ps7_i2c_0": {}, +"ps7_intc_dist_0": {}, +"ps7_iop_bus_config_0": {}, +"ps7_l2cachec_0": {}, +"ps7_ocmc_0": {}, +"ps7_pl310_0": {}, +"ps7_pmu_0": {}, +"ps7_qspi_0": {}, +"ps7_qspi_linear_0": {}, +"ps7_ram_0": {}, +"ps7_ram_1": {}, +"ps7_scuc_0": {}, +"ps7_scugic_0": {}, +"ps7_scutimer_0": {}, +"ps7_scuwdt_0": {}, +"ps7_sd_0": {}, +"ps7_slcr_0": {}, +"ps7_ttc_0": {}, +"ps7_uart_1": {}, +"ps7_xadc_0": {}, +}]. Thread: main + +!ENTRY com.xilinx.sdk.utils 0 0 2016-11-03 17:49:08.718 +!MESSAGE XSDB Command: [::hsi::utils::init_repo], Thread: Worker-3 + +!ENTRY com.xilinx.sdk.utils 0 0 2016-11-03 17:49:09.172 +!MESSAGE XSDB command with result: [::hsi::utils::init_repo], Result: [null, ]. Thread: Worker-3 + +!ENTRY com.xilinx.sdk.utils 0 0 2016-11-03 17:49:10.639 +!MESSAGE XSDB Command: [::hsi::utils::closehw /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.sdk2/system_design_wrapper_hw_platform_0/system.hdf], Thread: main + +!ENTRY com.xilinx.sdk.utils 0 0 2016-11-03 17:49:10.645 +!MESSAGE XSDB command with result: [::hsi::utils::closehw /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.sdk2/system_design_wrapper_hw_platform_0/system.hdf], Result: [null, ]. Thread: main + +!ENTRY com.xilinx.sdk.utils 0 0 2016-11-03 17:49:10.646 +!MESSAGE XSDB Command: [::hsi::utils::openhw /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.sdk2/system_design_wrapper_hw_platform_0/system.hdf], Thread: main + +!ENTRY com.xilinx.sdk.utils 0 0 2016-11-03 17:49:14.106 +!MESSAGE XSDB command with result: [::hsi::utils::openhw /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.sdk2/system_design_wrapper_hw_platform_0/system.hdf], Result: [null, ]. Thread: main + +!ENTRY com.xilinx.sdk.utils 0 0 2016-11-03 17:49:14.111 +!MESSAGE XSDB Command: [::hsi::utils::openhw /tmp/system2084326438372068414.hdf], Thread: main + +!ENTRY com.xilinx.sdk.utils 0 0 2016-11-03 17:49:17.732 +!MESSAGE XSDB command with result: [::hsi::utils::openhw /tmp/system2084326438372068414.hdf], Result: [null, ]. Thread: main + +!ENTRY com.xilinx.sdk.utils 0 0 2016-11-03 17:49:17.737 +!MESSAGE XSDB Command: [::hsi::utils::get_all_periphs -json /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.sdk2/system_design_wrapper_hw_platform_0/system.hdf], Thread: main + +!ENTRY com.xilinx.sdk.utils 0 0 2016-11-03 17:49:17.764 +!MESSAGE XSDB command with result: [::hsi::utils::get_all_periphs -json /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.sdk2/system_design_wrapper_hw_platform_0/system.hdf], Result: [null, {"axi_wb_i2c_master_0": {"hier_name": "axi_wb_i2c_master_0", +"type": "axi_wb_i2c_master", +"version": "2.5", +"ip_type": "PERIPHERAL", +}, +"axi_wb_i2c_master_1": {"hier_name": "axi_wb_i2c_master_1", +"type": "axi_wb_i2c_master", +"version": "2.5", +"ip_type": "PERIPHERAL", +}, +"axi_wb_i2c_master_2": {"hier_name": "axi_wb_i2c_master_2", +"type": "axi_wb_i2c_master", +"version": "2.5", +"ip_type": "PERIPHERAL", +}, +"drive_constants_xlconstant_0": {"hier_name": "drive_constants_xlconstant_0", +"type": "xlconstant", +"version": "1.1", +"ip_type": "PERIPHERAL", +}, +"drive_constants_xlconstant_1": {"hier_name": "drive_constants_xlconstant_1", +"type": "xlconstant", +"version": "1.1", +"ip_type": "PERIPHERAL", +}, +"drive_constants_xlconstant_2": {"hier_name": "drive_constants_xlconstant_2", +"type": "xlconstant", +"version": "1.1", +"ip_type": "PERIPHERAL", +}, +"drive_constants_xlconstant_3": {"hier_name": "drive_constants_xlconstant_3", +"type": "xlconstant", +"version": "1.1", +"ip_type": "PERIPHERAL", +}, +"drive_constants_xlconstant_5": {"hier_name": "drive_constants_xlconstant_5", +"type": "xlconstant", +"version": "1.1", +"ip_type": "PERIPHERAL", +}, +"drive_constants_xlconstant_7": {"hier_name": "drive_constants_xlconstant_7", +"type": "xlconstant", +"version": "1.1", +"ip_type": "PERIPHERAL", +}, +"fasec_hwtest_0": {"hier_name": "fasec_hwtest_0", +"type": "fasec_hwtest", +"version": "2.5.2", +"ip_type": "PERIPHERAL", +}, +"gig_ethernet_pcs_pma_0": {"hier_name": "gig_ethernet_pcs_pma_0", +"type": "gig_ethernet_pcs_pma", +"version": "15.2", +"ip_type": "PERIPHERAL", +}, +"processing_system7_0": {"hier_name": "processing_system7_0", +"type": "processing_system7", +"version": "5.5", +"ip_type": "", +}, +"processing_system7_0_axi_periph": {"hier_name": "processing_system7_0_axi_periph", +"type": "axi_interconnect", +"version": "2.1", +"ip_type": "BUS", +}, +"rst_processing_system7_0_100M": {"hier_name": "rst_processing_system7_0_100M", +"type": "proc_sys_reset", +"version": "5.0", +"ip_type": "PERIPHERAL", +}, +"xlconstant_4": {"hier_name": "xlconstant_4", +"type": "xlconstant", +"version": "1.1", +"ip_type": "PERIPHERAL", +}, +"xlconstant_6": {"hier_name": "xlconstant_6", +"type": "xlconstant", +"version": "1.1", +"ip_type": "PERIPHERAL", +}, +"ps7_clockc_0": {"hier_name": "ps7_clockc_0", +"type": "ps7_clockc", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_uart_1": {"hier_name": "ps7_uart_1", +"type": "ps7_uart", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_pl310_0": {"hier_name": "ps7_pl310_0", +"type": "ps7_pl310", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_pmu_0": {"hier_name": "ps7_pmu_0", +"type": "ps7_pmu", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_qspi_0": {"hier_name": "ps7_qspi_0", +"type": "ps7_qspi", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_qspi_linear_0": {"hier_name": "ps7_qspi_linear_0", +"type": "ps7_qspi_linear", +"version": "1.00.a", +"ip_type": "MEMORY_CNTLR", +}, +"ps7_axi_interconnect_0": {"hier_name": "ps7_axi_interconnect_0", +"type": "ps7_axi_interconnect", +"version": "1.00.a", +"ip_type": "BUS", +}, +"ps7_cortexa9_0": {"hier_name": "ps7_cortexa9_0", +"type": "ps7_cortexa9", +"version": "5.2", +"ip_type": "PROCESSOR", +}, +"ps7_cortexa9_1": {"hier_name": "ps7_cortexa9_1", +"type": "ps7_cortexa9", +"version": "5.2", +"ip_type": "PROCESSOR", +}, +"ps7_ddr_0": {"hier_name": "ps7_ddr_0", +"type": "ps7_ddr", +"version": "1.00.a", +"ip_type": "MEMORY_CNTLR", +}, +"ps7_ethernet_0": {"hier_name": "ps7_ethernet_0", +"type": "ps7_ethernet", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_ethernet_1": {"hier_name": "ps7_ethernet_1", +"type": "ps7_ethernet", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_sd_0": {"hier_name": "ps7_sd_0", +"type": "ps7_sdio", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_i2c_0": {"hier_name": "ps7_i2c_0", +"type": "ps7_i2c", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_ttc_0": {"hier_name": "ps7_ttc_0", +"type": "ps7_ttc", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_gpio_0": {"hier_name": "ps7_gpio_0", +"type": "ps7_gpio", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_ddrc_0": {"hier_name": "ps7_ddrc_0", +"type": "ps7_ddrc", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_dev_cfg_0": {"hier_name": "ps7_dev_cfg_0", +"type": "ps7_dev_cfg", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_xadc_0": {"hier_name": "ps7_xadc_0", +"type": "ps7_xadc", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_ocmc_0": {"hier_name": "ps7_ocmc_0", +"type": "ps7_ocmc", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_coresight_comp_0": {"hier_name": "ps7_coresight_comp_0", +"type": "ps7_coresight_comp", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_gpv_0": {"hier_name": "ps7_gpv_0", +"type": "ps7_gpv", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_scuc_0": {"hier_name": "ps7_scuc_0", +"type": "ps7_scuc", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_globaltimer_0": {"hier_name": "ps7_globaltimer_0", +"type": "ps7_globaltimer", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_intc_dist_0": {"hier_name": "ps7_intc_dist_0", +"type": "ps7_intc_dist", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_l2cachec_0": {"hier_name": "ps7_l2cachec_0", +"type": "ps7_l2cachec", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_dma_s": {"hier_name": "ps7_dma_s", +"type": "ps7_dma", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_iop_bus_config_0": {"hier_name": "ps7_iop_bus_config_0", +"type": "ps7_iop_bus_config", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_ram_0": {"hier_name": "ps7_ram_0", +"type": "ps7_ram", +"version": "1.00.a", +"ip_type": "MEMORY_CNTLR", +}, +"ps7_ram_1": {"hier_name": "ps7_ram_1", +"type": "ps7_ram", +"version": "1.00.a", +"ip_type": "MEMORY_CNTLR", +}, +"ps7_scugic_0": {"hier_name": "ps7_scugic_0", +"type": "ps7_scugic", +"version": "1.00.a", +"ip_type": "INTERRUPT_CNTLR", +}, +"ps7_scutimer_0": {"hier_name": "ps7_scutimer_0", +"type": "ps7_scutimer", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_scuwdt_0": {"hier_name": "ps7_scuwdt_0", +"type": "ps7_scuwdt", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_slcr_0": {"hier_name": "ps7_slcr_0", +"type": "ps7_slcr", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_dma_ns": {"hier_name": "ps7_dma_ns", +"type": "ps7_dma", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_afi_0": {"hier_name": "ps7_afi_0", +"type": "ps7_afi", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_afi_1": {"hier_name": "ps7_afi_1", +"type": "ps7_afi", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_afi_2": {"hier_name": "ps7_afi_2", +"type": "ps7_afi", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_afi_3": {"hier_name": "ps7_afi_3", +"type": "ps7_afi", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_m_axi_gp0": {"hier_name": "ps7_m_axi_gp0", +"type": "ps7_m_axi_gp", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +}]. Thread: main + +!ENTRY com.xilinx.sdk.utils 0 0 2016-11-03 17:49:17.766 +!MESSAGE XSDB Command: [::hsi::utils::get_all_periphs -json /tmp/system2084326438372068414.hdf], Thread: main + +!ENTRY com.xilinx.sdk.utils 0 0 2016-11-03 17:49:17.790 +!MESSAGE XSDB command with result: [::hsi::utils::get_all_periphs -json /tmp/system2084326438372068414.hdf], Result: [null, {"axi_wb_i2c_master_0": {"hier_name": "axi_wb_i2c_master_0", +"type": "axi_wb_i2c_master", +"version": "2.5", +"ip_type": "PERIPHERAL", +}, +"axi_wb_i2c_master_1": {"hier_name": "axi_wb_i2c_master_1", +"type": "axi_wb_i2c_master", +"version": "2.5", +"ip_type": "PERIPHERAL", +}, +"axi_wb_i2c_master_2": {"hier_name": "axi_wb_i2c_master_2", +"type": "axi_wb_i2c_master", +"version": "2.5", +"ip_type": "PERIPHERAL", +}, +"drive_constants_xlconstant_0": {"hier_name": "drive_constants_xlconstant_0", +"type": "xlconstant", +"version": "1.1", +"ip_type": "PERIPHERAL", +}, +"drive_constants_xlconstant_1": {"hier_name": "drive_constants_xlconstant_1", +"type": "xlconstant", +"version": "1.1", +"ip_type": "PERIPHERAL", +}, +"drive_constants_xlconstant_2": {"hier_name": "drive_constants_xlconstant_2", +"type": "xlconstant", +"version": "1.1", +"ip_type": "PERIPHERAL", +}, +"drive_constants_xlconstant_3": {"hier_name": "drive_constants_xlconstant_3", +"type": "xlconstant", +"version": "1.1", +"ip_type": "PERIPHERAL", +}, +"drive_constants_xlconstant_5": {"hier_name": "drive_constants_xlconstant_5", +"type": "xlconstant", +"version": "1.1", +"ip_type": "PERIPHERAL", +}, +"drive_constants_xlconstant_7": {"hier_name": "drive_constants_xlconstant_7", +"type": "xlconstant", +"version": "1.1", +"ip_type": "PERIPHERAL", +}, +"fasec_hwtest_0": {"hier_name": "fasec_hwtest_0", +"type": "fasec_hwtest", +"version": "2.5.2", +"ip_type": "PERIPHERAL", +}, +"gig_ethernet_pcs_pma_0": {"hier_name": "gig_ethernet_pcs_pma_0", +"type": "gig_ethernet_pcs_pma", +"version": "15.2", +"ip_type": "PERIPHERAL", +}, +"processing_system7_0": {"hier_name": "processing_system7_0", +"type": "processing_system7", +"version": "5.5", +"ip_type": "", +}, +"processing_system7_0_axi_periph": {"hier_name": "processing_system7_0_axi_periph", +"type": "axi_interconnect", +"version": "2.1", +"ip_type": "BUS", +}, +"rst_processing_system7_0_100M": {"hier_name": "rst_processing_system7_0_100M", +"type": "proc_sys_reset", +"version": "5.0", +"ip_type": "PERIPHERAL", +}, +"xlconstant_4": {"hier_name": "xlconstant_4", +"type": "xlconstant", +"version": "1.1", +"ip_type": "PERIPHERAL", +}, +"xlconstant_6": {"hier_name": "xlconstant_6", +"type": "xlconstant", +"version": "1.1", +"ip_type": "PERIPHERAL", +}, +"ps7_clockc_0": {"hier_name": "ps7_clockc_0", +"type": "ps7_clockc", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_uart_1": {"hier_name": "ps7_uart_1", +"type": "ps7_uart", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_pl310_0": {"hier_name": "ps7_pl310_0", +"type": "ps7_pl310", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_pmu_0": {"hier_name": "ps7_pmu_0", +"type": "ps7_pmu", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_qspi_0": {"hier_name": "ps7_qspi_0", +"type": "ps7_qspi", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_qspi_linear_0": {"hier_name": "ps7_qspi_linear_0", +"type": "ps7_qspi_linear", +"version": "1.00.a", +"ip_type": "MEMORY_CNTLR", +}, +"ps7_axi_interconnect_0": {"hier_name": "ps7_axi_interconnect_0", +"type": "ps7_axi_interconnect", +"version": "1.00.a", +"ip_type": "BUS", +}, +"ps7_cortexa9_0": {"hier_name": "ps7_cortexa9_0", +"type": "ps7_cortexa9", +"version": "5.2", +"ip_type": "PROCESSOR", +}, +"ps7_cortexa9_1": {"hier_name": "ps7_cortexa9_1", +"type": "ps7_cortexa9", +"version": "5.2", +"ip_type": "PROCESSOR", +}, +"ps7_ddr_0": {"hier_name": "ps7_ddr_0", +"type": "ps7_ddr", +"version": "1.00.a", +"ip_type": "MEMORY_CNTLR", +}, +"ps7_ethernet_0": {"hier_name": "ps7_ethernet_0", +"type": "ps7_ethernet", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_ethernet_1": {"hier_name": "ps7_ethernet_1", +"type": "ps7_ethernet", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_sd_0": {"hier_name": "ps7_sd_0", +"type": "ps7_sdio", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_i2c_0": {"hier_name": "ps7_i2c_0", +"type": "ps7_i2c", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_ttc_0": {"hier_name": "ps7_ttc_0", +"type": "ps7_ttc", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_gpio_0": {"hier_name": "ps7_gpio_0", +"type": "ps7_gpio", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_ddrc_0": {"hier_name": "ps7_ddrc_0", +"type": "ps7_ddrc", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_dev_cfg_0": {"hier_name": "ps7_dev_cfg_0", +"type": "ps7_dev_cfg", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_xadc_0": {"hier_name": "ps7_xadc_0", +"type": "ps7_xadc", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_ocmc_0": {"hier_name": "ps7_ocmc_0", +"type": "ps7_ocmc", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_coresight_comp_0": {"hier_name": "ps7_coresight_comp_0", +"type": "ps7_coresight_comp", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_gpv_0": {"hier_name": "ps7_gpv_0", +"type": "ps7_gpv", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_scuc_0": {"hier_name": "ps7_scuc_0", +"type": "ps7_scuc", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_globaltimer_0": {"hier_name": "ps7_globaltimer_0", +"type": "ps7_globaltimer", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_intc_dist_0": {"hier_name": "ps7_intc_dist_0", +"type": "ps7_intc_dist", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_l2cachec_0": {"hier_name": "ps7_l2cachec_0", +"type": "ps7_l2cachec", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_dma_s": {"hier_name": "ps7_dma_s", +"type": "ps7_dma", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_iop_bus_config_0": {"hier_name": "ps7_iop_bus_config_0", +"type": "ps7_iop_bus_config", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_ram_0": {"hier_name": "ps7_ram_0", +"type": "ps7_ram", +"version": "1.00.a", +"ip_type": "MEMORY_CNTLR", +}, +"ps7_ram_1": {"hier_name": "ps7_ram_1", +"type": "ps7_ram", +"version": "1.00.a", +"ip_type": "MEMORY_CNTLR", +}, +"ps7_scugic_0": {"hier_name": "ps7_scugic_0", +"type": "ps7_scugic", +"version": "1.00.a", +"ip_type": "INTERRUPT_CNTLR", +}, +"ps7_scutimer_0": {"hier_name": "ps7_scutimer_0", +"type": "ps7_scutimer", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_scuwdt_0": {"hier_name": "ps7_scuwdt_0", +"type": "ps7_scuwdt", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_slcr_0": {"hier_name": "ps7_slcr_0", +"type": "ps7_slcr", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_dma_ns": {"hier_name": "ps7_dma_ns", +"type": "ps7_dma", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_afi_0": {"hier_name": "ps7_afi_0", +"type": "ps7_afi", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_afi_1": {"hier_name": "ps7_afi_1", +"type": "ps7_afi", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_afi_2": {"hier_name": "ps7_afi_2", +"type": "ps7_afi", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_afi_3": {"hier_name": "ps7_afi_3", +"type": "ps7_afi", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_m_axi_gp0": {"hier_name": "ps7_m_axi_gp0", +"type": "ps7_m_axi_gp", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +}]. Thread: main + +!ENTRY com.xilinx.sdk.utils 0 0 2016-11-03 17:49:18.579 +!MESSAGE XSDB Command: [::hsi::utils::sync_sw_with_hw_changes -newhw /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.sdk2/system_design_wrapper_hw_platform_0/system.hdf -oldhw /tmp/system2084326438372068414.hdf -sw /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.sdk2/standalone_bsp_1/system.mss -dir /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.sdk2/standalone_bsp_1], Thread: ModalContext + +!ENTRY com.xilinx.sdk.utils 0 0 2016-11-03 17:49:18.691 +!MESSAGE XSDB command with result: [::hsi::utils::sync_sw_with_hw_changes -newhw /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.sdk2/system_design_wrapper_hw_platform_0/system.hdf -oldhw /tmp/system2084326438372068414.hdf -sw /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.sdk2/standalone_bsp_1/system.mss -dir /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.sdk2/standalone_bsp_1], Result: [{Format=ERROR: [Common 17-39] 'hsi::open_sw_design' failed due to earlier errors. +, Time=1478191758691, Code=1}, ]. Thread: ModalContext + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:43.619 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.core.CommandLauncher.printCommandLine(CommandLauncher.java:287) + at org.eclipse.cdt.core.CommandLauncher.waitAndRead(CommandLauncher.java:250) + at org.eclipse.cdt.internal.core.BuildRunnerHelper.build(BuildRunnerHelper.java:273) + at org.eclipse.cdt.make.core.MakeBuilder.invokeMake(MakeBuilder.java:219) + at org.eclipse.cdt.make.core.MakeBuilder.build(MakeBuilder.java:108) + at org.eclipse.core.internal.events.BuildManager$2.run(BuildManager.java:734) + at org.eclipse.core.runtime.SafeRunner.run(SafeRunner.java:42) + at org.eclipse.core.internal.events.BuildManager.basicBuild(BuildManager.java:205) + at org.eclipse.core.internal.events.BuildManager.basicBuild(BuildManager.java:245) + at org.eclipse.core.internal.events.BuildManager$1.run(BuildManager.java:300) + at org.eclipse.core.runtime.SafeRunner.run(SafeRunner.java:42) + at org.eclipse.core.internal.events.BuildManager.basicBuild(BuildManager.java:303) + at org.eclipse.core.internal.events.BuildManager.basicBuildLoop(BuildManager.java:359) + at org.eclipse.core.internal.events.BuildManager.build(BuildManager.java:382) + at org.eclipse.core.internal.resources.Workspace.buildInternal(Workspace.java:486) + at org.eclipse.core.internal.resources.Workspace.build(Workspace.java:398) + at org.eclipse.ui.actions.GlobalBuildAction$1.run(GlobalBuildAction.java:182) + at org.eclipse.core.internal.jobs.Worker.run(Worker.java:55) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:43.639 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:43.648 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:43.654 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:43.658 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:43.668 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:43.672 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:43.680 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:43.685 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:43.693 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:43.695 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:43.705 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:43.709 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:43.722 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:43.726 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:43.734 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:43.738 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:43.744 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:43.748 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:43.755 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:43.758 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:43.770 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:43.774 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:43.780 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:43.784 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:43.790 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:43.794 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:43.800 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:43.804 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:43.811 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:43.814 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:43.821 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:43.825 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:43.843 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:43.847 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:43.854 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:43.857 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:43.864 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:43.867 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:43.870 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:44.581 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:44.586 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:44.590 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:44.594 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:44.602 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:44.604 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:44.609 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:44.694 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:44.700 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:44.702 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:44.704 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:44.706 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:44.745 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:45.266 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:45.268 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:45.273 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:45.954 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:45.958 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:45.962 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:46.434 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:46.438 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:46.441 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:46.820 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:46.823 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:46.827 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:47.453 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:47.456 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:47.464 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:47.867 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:47.869 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:47.873 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:48.313 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:48.317 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:48.321 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:48.820 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:48.824 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:48.828 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:49.004 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:49.008 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:49.013 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:49.260 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:49.264 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:49.268 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:49.313 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:49.316 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:49.324 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:50.863 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:50.865 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:50.874 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:51.343 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:51.347 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:51.350 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:51.521 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-03 17:49:51.557 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.closeConsoleOutputStream(ConsoleOutputSniffer.java:160) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.close(ConsoleOutputSniffer.java:68) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.close(ProcessClosure.java:98) + at org.eclipse.cdt.internal.core.ProcessClosure.isAlive(ProcessClosure.java:193) + at org.eclipse.cdt.core.CommandLauncher.waitAndRead(CommandLauncher.java:259) + at org.eclipse.cdt.internal.core.BuildRunnerHelper.build(BuildRunnerHelper.java:273) + at org.eclipse.cdt.make.core.MakeBuilder.invokeMake(MakeBuilder.java:219) + at org.eclipse.cdt.make.core.MakeBuilder.build(MakeBuilder.java:108) + at org.eclipse.core.internal.events.BuildManager$2.run(BuildManager.java:734) + at org.eclipse.core.runtime.SafeRunner.run(SafeRunner.java:42) + at org.eclipse.core.internal.events.BuildManager.basicBuild(BuildManager.java:205) + at org.eclipse.core.internal.events.BuildManager.basicBuild(BuildManager.java:245) + at org.eclipse.core.internal.events.BuildManager$1.run(BuildManager.java:300) + at org.eclipse.core.runtime.SafeRunner.run(SafeRunner.java:42) + at org.eclipse.core.internal.events.BuildManager.basicBuild(BuildManager.java:303) + at org.eclipse.core.internal.events.BuildManager.basicBuildLoop(BuildManager.java:359) + at org.eclipse.core.internal.events.BuildManager.build(BuildManager.java:382) + at org.eclipse.core.internal.resources.Workspace.buildInternal(Workspace.java:486) + at org.eclipse.core.internal.resources.Workspace.build(Workspace.java:398) + at org.eclipse.ui.actions.GlobalBuildAction$1.run(GlobalBuildAction.java:182) + at org.eclipse.core.internal.jobs.Worker.run(Worker.java:55) +!SESSION 2016-11-04 10:59:51.620 ----------------------------------------------- +eclipse.buildId=2016.2 +java.version=1.8.0_66 +java.vendor=Oracle Corporation +BootLoader constants: OS=linux, ARCH=x86_64, WS=gtk, NL=en_US +Command-line arguments: -os linux -ws gtk -arch x86_64 -data /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.sdk2 + +!ENTRY com.xilinx.sdk.utils 0 0 2016-11-04 11:00:04.934 +!MESSAGE XSDB Command: [::hsi::utils::init_repo], Thread: Worker-5 + +!ENTRY com.xilinx.sdk.utils 0 0 2016-11-04 11:00:05.270 +!MESSAGE XSDB command with result: [::hsi::utils::init_repo], Result: [null, ]. Thread: Worker-5 + +!ENTRY com.xilinx.sdk.utils 0 0 2016-11-04 11:00:05.378 +!MESSAGE XSDB Command: [::hsi::utils::openhw /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.sdk2/system_design_wrapper_hw_platform_0/system.hdf], Thread: Worker-11 + +!ENTRY com.xilinx.sdk.utils 0 0 2016-11-04 11:00:09.663 +!MESSAGE XSDB command with result: [::hsi::utils::openhw /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.sdk2/system_design_wrapper_hw_platform_0/system.hdf], Result: [null, ]. Thread: Worker-11 + +!ENTRY com.xilinx.sdk.utils 0 0 2016-11-04 11:00:09.705 +!MESSAGE XSDB Command: [::hsi::utils::closehw /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.sdk2/system_design_wrapper_hw_platform_0/system.hdf], Thread: main + +!ENTRY com.xilinx.sdk.utils 0 0 2016-11-04 11:00:09.711 +!MESSAGE XSDB command with result: [::hsi::utils::closehw /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.sdk2/system_design_wrapper_hw_platform_0/system.hdf], Result: [null, ]. Thread: main + +!ENTRY com.xilinx.sdk.utils 0 0 2016-11-04 11:00:09.712 +!MESSAGE XSDB Command: [::hsi::utils::openhw /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.sdk2/system_design_wrapper_hw_platform_0/system.hdf], Thread: main + +!ENTRY com.xilinx.sdk.utils 0 0 2016-11-04 11:00:13.065 +!MESSAGE XSDB command with result: [::hsi::utils::openhw /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.sdk2/system_design_wrapper_hw_platform_0/system.hdf], Result: [null, ]. Thread: main + +!ENTRY com.xilinx.sdk.utils 0 0 2016-11-04 11:00:13.074 +!MESSAGE XSDB Command: [::hsi::utils::openhw /tmp/system3528042025490823365.hdf], Thread: main + +!ENTRY com.xilinx.sdk.utils 0 0 2016-11-04 11:00:16.494 +!MESSAGE XSDB command with result: [::hsi::utils::openhw /tmp/system3528042025490823365.hdf], Result: [null, ]. Thread: main + +!ENTRY com.xilinx.sdk.utils 0 0 2016-11-04 11:00:16.501 +!MESSAGE XSDB Command: [::hsi::utils::get_all_periphs -json /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.sdk2/system_design_wrapper_hw_platform_0/system.hdf], Thread: main + +!ENTRY com.xilinx.sdk.utils 0 0 2016-11-04 11:00:16.553 +!MESSAGE XSDB command with result: [::hsi::utils::get_all_periphs -json /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.sdk2/system_design_wrapper_hw_platform_0/system.hdf], Result: [null, {"axi_wb_i2c_master_0": {"hier_name": "axi_wb_i2c_master_0", +"type": "axi_wb_i2c_master", +"version": "2.5", +"ip_type": "PERIPHERAL", +}, +"axi_wb_i2c_master_1": {"hier_name": "axi_wb_i2c_master_1", +"type": "axi_wb_i2c_master", +"version": "2.5", +"ip_type": "PERIPHERAL", +}, +"axi_wb_i2c_master_2": {"hier_name": "axi_wb_i2c_master_2", +"type": "axi_wb_i2c_master", +"version": "2.5", +"ip_type": "PERIPHERAL", +}, +"drive_constants_xlconstant_0": {"hier_name": "drive_constants_xlconstant_0", +"type": "xlconstant", +"version": "1.1", +"ip_type": "PERIPHERAL", +}, +"drive_constants_xlconstant_1": {"hier_name": "drive_constants_xlconstant_1", +"type": "xlconstant", +"version": "1.1", +"ip_type": "PERIPHERAL", +}, +"drive_constants_xlconstant_2": {"hier_name": "drive_constants_xlconstant_2", +"type": "xlconstant", +"version": "1.1", +"ip_type": "PERIPHERAL", +}, +"drive_constants_xlconstant_3": {"hier_name": "drive_constants_xlconstant_3", +"type": "xlconstant", +"version": "1.1", +"ip_type": "PERIPHERAL", +}, +"drive_constants_xlconstant_5": {"hier_name": "drive_constants_xlconstant_5", +"type": "xlconstant", +"version": "1.1", +"ip_type": "PERIPHERAL", +}, +"drive_constants_xlconstant_7": {"hier_name": "drive_constants_xlconstant_7", +"type": "xlconstant", +"version": "1.1", +"ip_type": "PERIPHERAL", +}, +"fasec_hwtest_0": {"hier_name": "fasec_hwtest_0", +"type": "fasec_hwtest", +"version": "2.5.2", +"ip_type": "PERIPHERAL", +}, +"gig_ethernet_pcs_pma_0": {"hier_name": "gig_ethernet_pcs_pma_0", +"type": "gig_ethernet_pcs_pma", +"version": "15.2", +"ip_type": "PERIPHERAL", +}, +"processing_system7_0": {"hier_name": "processing_system7_0", +"type": "processing_system7", +"version": "5.5", +"ip_type": "", +}, +"processing_system7_0_axi_periph": {"hier_name": "processing_system7_0_axi_periph", +"type": "axi_interconnect", +"version": "2.1", +"ip_type": "BUS", +}, +"rst_processing_system7_0_100M": {"hier_name": "rst_processing_system7_0_100M", +"type": "proc_sys_reset", +"version": "5.0", +"ip_type": "PERIPHERAL", +}, +"xlconstant_4": {"hier_name": "xlconstant_4", +"type": "xlconstant", +"version": "1.1", +"ip_type": "PERIPHERAL", +}, +"xlconstant_6": {"hier_name": "xlconstant_6", +"type": "xlconstant", +"version": "1.1", +"ip_type": "PERIPHERAL", +}, +"ps7_clockc_0": {"hier_name": "ps7_clockc_0", +"type": "ps7_clockc", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_uart_1": {"hier_name": "ps7_uart_1", +"type": "ps7_uart", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_pl310_0": {"hier_name": "ps7_pl310_0", +"type": "ps7_pl310", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_pmu_0": {"hier_name": "ps7_pmu_0", +"type": "ps7_pmu", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_qspi_0": {"hier_name": "ps7_qspi_0", +"type": "ps7_qspi", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_qspi_linear_0": {"hier_name": "ps7_qspi_linear_0", +"type": "ps7_qspi_linear", +"version": "1.00.a", +"ip_type": "MEMORY_CNTLR", +}, +"ps7_axi_interconnect_0": {"hier_name": "ps7_axi_interconnect_0", +"type": "ps7_axi_interconnect", +"version": "1.00.a", +"ip_type": "BUS", +}, +"ps7_cortexa9_0": {"hier_name": "ps7_cortexa9_0", +"type": "ps7_cortexa9", +"version": "5.2", +"ip_type": "PROCESSOR", +}, +"ps7_cortexa9_1": {"hier_name": "ps7_cortexa9_1", +"type": "ps7_cortexa9", +"version": "5.2", +"ip_type": "PROCESSOR", +}, +"ps7_ddr_0": {"hier_name": "ps7_ddr_0", +"type": "ps7_ddr", +"version": "1.00.a", +"ip_type": "MEMORY_CNTLR", +}, +"ps7_ethernet_0": {"hier_name": "ps7_ethernet_0", +"type": "ps7_ethernet", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_ethernet_1": {"hier_name": "ps7_ethernet_1", +"type": "ps7_ethernet", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_sd_0": {"hier_name": "ps7_sd_0", +"type": "ps7_sdio", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_i2c_0": {"hier_name": "ps7_i2c_0", +"type": "ps7_i2c", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_ttc_0": {"hier_name": "ps7_ttc_0", +"type": "ps7_ttc", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_gpio_0": {"hier_name": "ps7_gpio_0", +"type": "ps7_gpio", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_ddrc_0": {"hier_name": "ps7_ddrc_0", +"type": "ps7_ddrc", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_dev_cfg_0": {"hier_name": "ps7_dev_cfg_0", +"type": "ps7_dev_cfg", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_xadc_0": {"hier_name": "ps7_xadc_0", +"type": "ps7_xadc", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_ocmc_0": {"hier_name": "ps7_ocmc_0", +"type": "ps7_ocmc", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_coresight_comp_0": {"hier_name": "ps7_coresight_comp_0", +"type": "ps7_coresight_comp", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_gpv_0": {"hier_name": "ps7_gpv_0", +"type": "ps7_gpv", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_scuc_0": {"hier_name": "ps7_scuc_0", +"type": "ps7_scuc", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_globaltimer_0": {"hier_name": "ps7_globaltimer_0", +"type": "ps7_globaltimer", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_intc_dist_0": {"hier_name": "ps7_intc_dist_0", +"type": "ps7_intc_dist", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_l2cachec_0": {"hier_name": "ps7_l2cachec_0", +"type": "ps7_l2cachec", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_dma_s": {"hier_name": "ps7_dma_s", +"type": "ps7_dma", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_iop_bus_config_0": {"hier_name": "ps7_iop_bus_config_0", +"type": "ps7_iop_bus_config", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_ram_0": {"hier_name": "ps7_ram_0", +"type": "ps7_ram", +"version": "1.00.a", +"ip_type": "MEMORY_CNTLR", +}, +"ps7_ram_1": {"hier_name": "ps7_ram_1", +"type": "ps7_ram", +"version": "1.00.a", +"ip_type": "MEMORY_CNTLR", +}, +"ps7_scugic_0": {"hier_name": "ps7_scugic_0", +"type": "ps7_scugic", +"version": "1.00.a", +"ip_type": "INTERRUPT_CNTLR", +}, +"ps7_scutimer_0": {"hier_name": "ps7_scutimer_0", +"type": "ps7_scutimer", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_scuwdt_0": {"hier_name": "ps7_scuwdt_0", +"type": "ps7_scuwdt", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_slcr_0": {"hier_name": "ps7_slcr_0", +"type": "ps7_slcr", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_dma_ns": {"hier_name": "ps7_dma_ns", +"type": "ps7_dma", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_afi_0": {"hier_name": "ps7_afi_0", +"type": "ps7_afi", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_afi_1": {"hier_name": "ps7_afi_1", +"type": "ps7_afi", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_afi_2": {"hier_name": "ps7_afi_2", +"type": "ps7_afi", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_afi_3": {"hier_name": "ps7_afi_3", +"type": "ps7_afi", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_m_axi_gp0": {"hier_name": "ps7_m_axi_gp0", +"type": "ps7_m_axi_gp", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +}]. Thread: main + +!ENTRY com.xilinx.sdk.utils 0 0 2016-11-04 11:00:16.562 +!MESSAGE XSDB Command: [::hsi::utils::get_all_periphs -json /tmp/system3528042025490823365.hdf], Thread: main + +!ENTRY com.xilinx.sdk.utils 0 0 2016-11-04 11:00:16.597 +!MESSAGE XSDB command with result: [::hsi::utils::get_all_periphs -json /tmp/system3528042025490823365.hdf], Result: [null, {"axi_wb_i2c_master_0": {"hier_name": "axi_wb_i2c_master_0", +"type": "axi_wb_i2c_master", +"version": "2.5", +"ip_type": "PERIPHERAL", +}, +"axi_wb_i2c_master_1": {"hier_name": "axi_wb_i2c_master_1", +"type": "axi_wb_i2c_master", +"version": "2.5", +"ip_type": "PERIPHERAL", +}, +"axi_wb_i2c_master_2": {"hier_name": "axi_wb_i2c_master_2", +"type": "axi_wb_i2c_master", +"version": "2.5", +"ip_type": "PERIPHERAL", +}, +"drive_constants_xlconstant_0": {"hier_name": "drive_constants_xlconstant_0", +"type": "xlconstant", +"version": "1.1", +"ip_type": "PERIPHERAL", +}, +"drive_constants_xlconstant_1": {"hier_name": "drive_constants_xlconstant_1", +"type": "xlconstant", +"version": "1.1", +"ip_type": "PERIPHERAL", +}, +"drive_constants_xlconstant_2": {"hier_name": "drive_constants_xlconstant_2", +"type": "xlconstant", +"version": "1.1", +"ip_type": "PERIPHERAL", +}, +"drive_constants_xlconstant_3": {"hier_name": "drive_constants_xlconstant_3", +"type": "xlconstant", +"version": "1.1", +"ip_type": "PERIPHERAL", +}, +"drive_constants_xlconstant_5": {"hier_name": "drive_constants_xlconstant_5", +"type": "xlconstant", +"version": "1.1", +"ip_type": "PERIPHERAL", +}, +"drive_constants_xlconstant_7": {"hier_name": "drive_constants_xlconstant_7", +"type": "xlconstant", +"version": "1.1", +"ip_type": "PERIPHERAL", +}, +"fasec_hwtest_0": {"hier_name": "fasec_hwtest_0", +"type": "fasec_hwtest", +"version": "2.5.2", +"ip_type": "PERIPHERAL", +}, +"gig_ethernet_pcs_pma_0": {"hier_name": "gig_ethernet_pcs_pma_0", +"type": "gig_ethernet_pcs_pma", +"version": "15.2", +"ip_type": "PERIPHERAL", +}, +"processing_system7_0": {"hier_name": "processing_system7_0", +"type": "processing_system7", +"version": "5.5", +"ip_type": "", +}, +"processing_system7_0_axi_periph": {"hier_name": "processing_system7_0_axi_periph", +"type": "axi_interconnect", +"version": "2.1", +"ip_type": "BUS", +}, +"rst_processing_system7_0_100M": {"hier_name": "rst_processing_system7_0_100M", +"type": "proc_sys_reset", +"version": "5.0", +"ip_type": "PERIPHERAL", +}, +"xlconstant_4": {"hier_name": "xlconstant_4", +"type": "xlconstant", +"version": "1.1", +"ip_type": "PERIPHERAL", +}, +"xlconstant_6": {"hier_name": "xlconstant_6", +"type": "xlconstant", +"version": "1.1", +"ip_type": "PERIPHERAL", +}, +"ps7_clockc_0": {"hier_name": "ps7_clockc_0", +"type": "ps7_clockc", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_uart_1": {"hier_name": "ps7_uart_1", +"type": "ps7_uart", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_pl310_0": {"hier_name": "ps7_pl310_0", +"type": "ps7_pl310", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_pmu_0": {"hier_name": "ps7_pmu_0", +"type": "ps7_pmu", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_qspi_0": {"hier_name": "ps7_qspi_0", +"type": "ps7_qspi", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_qspi_linear_0": {"hier_name": "ps7_qspi_linear_0", +"type": "ps7_qspi_linear", +"version": "1.00.a", +"ip_type": "MEMORY_CNTLR", +}, +"ps7_axi_interconnect_0": {"hier_name": "ps7_axi_interconnect_0", +"type": "ps7_axi_interconnect", +"version": "1.00.a", +"ip_type": "BUS", +}, +"ps7_cortexa9_0": {"hier_name": "ps7_cortexa9_0", +"type": "ps7_cortexa9", +"version": "5.2", +"ip_type": "PROCESSOR", +}, +"ps7_cortexa9_1": {"hier_name": "ps7_cortexa9_1", +"type": "ps7_cortexa9", +"version": "5.2", +"ip_type": "PROCESSOR", +}, +"ps7_ddr_0": {"hier_name": "ps7_ddr_0", +"type": "ps7_ddr", +"version": "1.00.a", +"ip_type": "MEMORY_CNTLR", +}, +"ps7_ethernet_0": {"hier_name": "ps7_ethernet_0", +"type": "ps7_ethernet", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_ethernet_1": {"hier_name": "ps7_ethernet_1", +"type": "ps7_ethernet", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_sd_0": {"hier_name": "ps7_sd_0", +"type": "ps7_sdio", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_i2c_0": {"hier_name": "ps7_i2c_0", +"type": "ps7_i2c", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_ttc_0": {"hier_name": "ps7_ttc_0", +"type": "ps7_ttc", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_gpio_0": {"hier_name": "ps7_gpio_0", +"type": "ps7_gpio", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_ddrc_0": {"hier_name": "ps7_ddrc_0", +"type": "ps7_ddrc", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_dev_cfg_0": {"hier_name": "ps7_dev_cfg_0", +"type": "ps7_dev_cfg", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_xadc_0": {"hier_name": "ps7_xadc_0", +"type": "ps7_xadc", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_ocmc_0": {"hier_name": "ps7_ocmc_0", +"type": "ps7_ocmc", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_coresight_comp_0": {"hier_name": "ps7_coresight_comp_0", +"type": "ps7_coresight_comp", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_gpv_0": {"hier_name": "ps7_gpv_0", +"type": "ps7_gpv", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_scuc_0": {"hier_name": "ps7_scuc_0", +"type": "ps7_scuc", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_globaltimer_0": {"hier_name": "ps7_globaltimer_0", +"type": "ps7_globaltimer", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_intc_dist_0": {"hier_name": "ps7_intc_dist_0", +"type": "ps7_intc_dist", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_l2cachec_0": {"hier_name": "ps7_l2cachec_0", +"type": "ps7_l2cachec", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_dma_s": {"hier_name": "ps7_dma_s", +"type": "ps7_dma", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_iop_bus_config_0": {"hier_name": "ps7_iop_bus_config_0", +"type": "ps7_iop_bus_config", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_ram_0": {"hier_name": "ps7_ram_0", +"type": "ps7_ram", +"version": "1.00.a", +"ip_type": "MEMORY_CNTLR", +}, +"ps7_ram_1": {"hier_name": "ps7_ram_1", +"type": "ps7_ram", +"version": "1.00.a", +"ip_type": "MEMORY_CNTLR", +}, +"ps7_scugic_0": {"hier_name": "ps7_scugic_0", +"type": "ps7_scugic", +"version": "1.00.a", +"ip_type": "INTERRUPT_CNTLR", +}, +"ps7_scutimer_0": {"hier_name": "ps7_scutimer_0", +"type": "ps7_scutimer", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_scuwdt_0": {"hier_name": "ps7_scuwdt_0", +"type": "ps7_scuwdt", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_slcr_0": {"hier_name": "ps7_slcr_0", +"type": "ps7_slcr", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_dma_ns": {"hier_name": "ps7_dma_ns", +"type": "ps7_dma", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_afi_0": {"hier_name": "ps7_afi_0", +"type": "ps7_afi", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_afi_1": {"hier_name": "ps7_afi_1", +"type": "ps7_afi", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_afi_2": {"hier_name": "ps7_afi_2", +"type": "ps7_afi", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_afi_3": {"hier_name": "ps7_afi_3", +"type": "ps7_afi", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_m_axi_gp0": {"hier_name": "ps7_m_axi_gp0", +"type": "ps7_m_axi_gp", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +}]. Thread: main + +!ENTRY com.xilinx.sdk.utils 0 0 2016-11-04 11:00:17.380 +!MESSAGE XSDB Command: [::hsi::utils::sync_sw_with_hw_changes -newhw /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.sdk2/system_design_wrapper_hw_platform_0/system.hdf -oldhw /tmp/system3528042025490823365.hdf -sw /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.sdk2/standalone_bsp_1/system.mss -dir /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.sdk2/standalone_bsp_1], Thread: ModalContext + +!ENTRY com.xilinx.sdk.utils 0 0 2016-11-04 11:00:17.441 +!MESSAGE XSDB command with result: [::hsi::utils::sync_sw_with_hw_changes -newhw /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.sdk2/system_design_wrapper_hw_platform_0/system.hdf -oldhw /tmp/system3528042025490823365.hdf -sw /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.sdk2/standalone_bsp_1/system.mss -dir /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.sdk2/standalone_bsp_1], Result: [{Format=ERROR: [Common 17-39] 'hsi::open_sw_design' failed due to earlier errors. +, Time=1478253617440, Code=1}, ]. Thread: ModalContext +!SESSION 2016-11-04 11:11:04.434 ----------------------------------------------- +eclipse.buildId=2016.2 +java.version=1.8.0_66 +java.vendor=Oracle Corporation +BootLoader constants: OS=linux, ARCH=x86_64, WS=gtk, NL=en_US +Command-line arguments: -os linux -ws gtk -arch x86_64 + +!ENTRY com.xilinx.sdk.utils 0 0 2016-11-04 11:11:47.683 +!MESSAGE XSDB Command: [::hsi::utils::init_repo], Thread: Worker-2 + +!ENTRY com.xilinx.sdk.utils 0 0 2016-11-04 11:11:47.813 +!MESSAGE XSDB command with result: [::hsi::utils::init_repo], Result: [null, ]. Thread: Worker-2 + +!ENTRY com.xilinx.sdk.utils 0 0 2016-11-04 11:11:47.871 +!MESSAGE XSDB Command: [::hsi::utils::openhw /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.sdk2/system_design_wrapper_hw_platform_0/system.hdf], Thread: Worker-11 + +!ENTRY com.xilinx.sdk.utils 0 0 2016-11-04 11:11:53.262 +!MESSAGE XSDB command with result: [::hsi::utils::openhw /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.sdk2/system_design_wrapper_hw_platform_0/system.hdf], Result: [null, ]. Thread: Worker-11 + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:20.498 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.core.CommandLauncher.printCommandLine(CommandLauncher.java:287) + at org.eclipse.cdt.core.CommandLauncher.waitAndRead(CommandLauncher.java:250) + at org.eclipse.cdt.internal.core.BuildRunnerHelper.build(BuildRunnerHelper.java:273) + at org.eclipse.cdt.make.core.MakeBuilder.invokeMake(MakeBuilder.java:219) + at org.eclipse.cdt.make.core.MakeBuilder.build(MakeBuilder.java:108) + at org.eclipse.core.internal.events.BuildManager$2.run(BuildManager.java:734) + at org.eclipse.core.runtime.SafeRunner.run(SafeRunner.java:42) + at org.eclipse.core.internal.events.BuildManager.basicBuild(BuildManager.java:205) + at org.eclipse.core.internal.events.BuildManager.basicBuild(BuildManager.java:245) + at org.eclipse.core.internal.events.BuildManager$1.run(BuildManager.java:300) + at org.eclipse.core.runtime.SafeRunner.run(SafeRunner.java:42) + at org.eclipse.core.internal.events.BuildManager.basicBuild(BuildManager.java:303) + at org.eclipse.core.internal.events.BuildManager.basicBuildLoop(BuildManager.java:359) + at org.eclipse.core.internal.events.BuildManager.build(BuildManager.java:382) + at org.eclipse.core.internal.resources.Workspace.buildInternal(Workspace.java:486) + at org.eclipse.core.internal.resources.Workspace.build(Workspace.java:398) + at org.eclipse.ui.actions.GlobalBuildAction$1.run(GlobalBuildAction.java:182) + at org.eclipse.core.internal.jobs.Worker.run(Worker.java:55) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:20.505 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:20.513 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:20.524 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:20.530 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:20.540 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:20.547 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:20.558 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:20.561 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:20.566 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:20.570 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:20.577 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:20.581 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:20.589 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:20.593 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:20.601 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:20.604 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:20.610 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:20.614 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:20.621 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:20.625 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:20.632 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:20.636 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:20.644 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:20.647 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:20.655 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:20.660 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:20.669 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:20.673 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:20.681 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:20.684 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:20.702 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:20.706 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:20.734 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:20.737 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:20.746 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:20.749 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:20.762 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:20.765 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:20.772 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:21.472 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:21.486 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:21.509 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:21.515 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:21.528 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:21.533 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:21.545 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:21.675 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:21.680 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:21.683 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:21.687 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:21.696 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:21.697 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:22.287 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:22.291 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:22.294 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:23.035 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:23.039 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:23.041 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:23.687 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:23.693 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:23.697 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:24.209 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:24.213 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:24.234 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:25.126 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:25.132 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:25.148 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:25.666 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:25.670 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:25.678 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:26.293 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:26.297 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:26.305 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:27.095 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:27.100 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:27.115 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:27.352 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:27.356 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:27.359 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:27.622 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:27.624 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:27.631 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:27.685 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:27.690 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:27.709 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:29.819 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:29.821 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:29.830 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:30.379 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:30.382 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:30.390 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:30.628 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 11:14:30.647 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.closeConsoleOutputStream(ConsoleOutputSniffer.java:160) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.close(ConsoleOutputSniffer.java:68) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.close(ProcessClosure.java:98) + at org.eclipse.cdt.internal.core.ProcessClosure.isAlive(ProcessClosure.java:193) + at org.eclipse.cdt.core.CommandLauncher.waitAndRead(CommandLauncher.java:259) + at org.eclipse.cdt.internal.core.BuildRunnerHelper.build(BuildRunnerHelper.java:273) + at org.eclipse.cdt.make.core.MakeBuilder.invokeMake(MakeBuilder.java:219) + at org.eclipse.cdt.make.core.MakeBuilder.build(MakeBuilder.java:108) + at org.eclipse.core.internal.events.BuildManager$2.run(BuildManager.java:734) + at org.eclipse.core.runtime.SafeRunner.run(SafeRunner.java:42) + at org.eclipse.core.internal.events.BuildManager.basicBuild(BuildManager.java:205) + at org.eclipse.core.internal.events.BuildManager.basicBuild(BuildManager.java:245) + at org.eclipse.core.internal.events.BuildManager$1.run(BuildManager.java:300) + at org.eclipse.core.runtime.SafeRunner.run(SafeRunner.java:42) + at org.eclipse.core.internal.events.BuildManager.basicBuild(BuildManager.java:303) + at org.eclipse.core.internal.events.BuildManager.basicBuildLoop(BuildManager.java:359) + at org.eclipse.core.internal.events.BuildManager.build(BuildManager.java:382) + at org.eclipse.core.internal.resources.Workspace.buildInternal(Workspace.java:486) + at org.eclipse.core.internal.resources.Workspace.build(Workspace.java:398) + at org.eclipse.ui.actions.GlobalBuildAction$1.run(GlobalBuildAction.java:182) + at org.eclipse.core.internal.jobs.Worker.run(Worker.java:55) + +!ENTRY com.xilinx.sdk.utils 0 0 2016-11-04 12:17:59.337 +!MESSAGE XSDB Command: [::hsi::utils::closehw /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.sdk2/system_design_wrapper_hw_platform_0/system.hdf], Thread: main + +!ENTRY com.xilinx.sdk.utils 0 0 2016-11-04 12:17:59.462 +!MESSAGE XSDB command with result: [::hsi::utils::closehw /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.sdk2/system_design_wrapper_hw_platform_0/system.hdf], Result: [null, ]. Thread: main + +!ENTRY com.xilinx.sdk.utils 0 0 2016-11-04 12:17:59.468 +!MESSAGE XSDB Command: [::hsi::utils::openhw /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.sdk2/system_design_wrapper_hw_platform_0/system.hdf], Thread: main + +!ENTRY com.xilinx.sdk.utils 0 0 2016-11-04 12:18:02.648 +!MESSAGE XSDB command with result: [::hsi::utils::openhw /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.sdk2/system_design_wrapper_hw_platform_0/system.hdf], Result: [null, ]. Thread: main + +!ENTRY com.xilinx.sdk.utils 0 0 2016-11-04 12:18:02.654 +!MESSAGE XSDB Command: [::hsi::utils::openhw /tmp/system8236768140468482288.hdf], Thread: main + +!ENTRY com.xilinx.sdk.utils 0 0 2016-11-04 12:18:05.718 +!MESSAGE XSDB command with result: [::hsi::utils::openhw /tmp/system8236768140468482288.hdf], Result: [null, ]. Thread: main + +!ENTRY com.xilinx.sdk.utils 0 0 2016-11-04 12:18:05.728 +!MESSAGE XSDB Command: [::hsi::utils::get_all_periphs -json /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.sdk2/system_design_wrapper_hw_platform_0/system.hdf], Thread: main + +!ENTRY com.xilinx.sdk.utils 0 0 2016-11-04 12:18:05.749 +!MESSAGE XSDB command with result: [::hsi::utils::get_all_periphs -json /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.sdk2/system_design_wrapper_hw_platform_0/system.hdf], Result: [null, {"axi_wb_i2c_master_0": {"hier_name": "axi_wb_i2c_master_0", +"type": "axi_wb_i2c_master", +"version": "2.5", +"ip_type": "PERIPHERAL", +}, +"axi_wb_i2c_master_1": {"hier_name": "axi_wb_i2c_master_1", +"type": "axi_wb_i2c_master", +"version": "2.5", +"ip_type": "PERIPHERAL", +}, +"axi_wb_i2c_master_2": {"hier_name": "axi_wb_i2c_master_2", +"type": "axi_wb_i2c_master", +"version": "2.5", +"ip_type": "PERIPHERAL", +}, +"drive_constants_xlconstant_0": {"hier_name": "drive_constants_xlconstant_0", +"type": "xlconstant", +"version": "1.1", +"ip_type": "PERIPHERAL", +}, +"drive_constants_xlconstant_1": {"hier_name": "drive_constants_xlconstant_1", +"type": "xlconstant", +"version": "1.1", +"ip_type": "PERIPHERAL", +}, +"drive_constants_xlconstant_2": {"hier_name": "drive_constants_xlconstant_2", +"type": "xlconstant", +"version": "1.1", +"ip_type": "PERIPHERAL", +}, +"drive_constants_xlconstant_3": {"hier_name": "drive_constants_xlconstant_3", +"type": "xlconstant", +"version": "1.1", +"ip_type": "PERIPHERAL", +}, +"drive_constants_xlconstant_5": {"hier_name": "drive_constants_xlconstant_5", +"type": "xlconstant", +"version": "1.1", +"ip_type": "PERIPHERAL", +}, +"drive_constants_xlconstant_7": {"hier_name": "drive_constants_xlconstant_7", +"type": "xlconstant", +"version": "1.1", +"ip_type": "PERIPHERAL", +}, +"fasec_hwtest_0": {"hier_name": "fasec_hwtest_0", +"type": "fasec_hwtest", +"version": "2.5.2", +"ip_type": "PERIPHERAL", +}, +"gig_ethernet_pcs_pma_0": {"hier_name": "gig_ethernet_pcs_pma_0", +"type": "gig_ethernet_pcs_pma", +"version": "15.2", +"ip_type": "PERIPHERAL", +}, +"processing_system7_0": {"hier_name": "processing_system7_0", +"type": "processing_system7", +"version": "5.5", +"ip_type": "", +}, +"processing_system7_0_axi_periph": {"hier_name": "processing_system7_0_axi_periph", +"type": "axi_interconnect", +"version": "2.1", +"ip_type": "BUS", +}, +"rst_processing_system7_0_100M": {"hier_name": "rst_processing_system7_0_100M", +"type": "proc_sys_reset", +"version": "5.0", +"ip_type": "PERIPHERAL", +}, +"xlconstant_4": {"hier_name": "xlconstant_4", +"type": "xlconstant", +"version": "1.1", +"ip_type": "PERIPHERAL", +}, +"xlconstant_6": {"hier_name": "xlconstant_6", +"type": "xlconstant", +"version": "1.1", +"ip_type": "PERIPHERAL", +}, +"ps7_clockc_0": {"hier_name": "ps7_clockc_0", +"type": "ps7_clockc", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_uart_1": {"hier_name": "ps7_uart_1", +"type": "ps7_uart", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_pl310_0": {"hier_name": "ps7_pl310_0", +"type": "ps7_pl310", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_pmu_0": {"hier_name": "ps7_pmu_0", +"type": "ps7_pmu", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_qspi_0": {"hier_name": "ps7_qspi_0", +"type": "ps7_qspi", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_qspi_linear_0": {"hier_name": "ps7_qspi_linear_0", +"type": "ps7_qspi_linear", +"version": "1.00.a", +"ip_type": "MEMORY_CNTLR", +}, +"ps7_axi_interconnect_0": {"hier_name": "ps7_axi_interconnect_0", +"type": "ps7_axi_interconnect", +"version": "1.00.a", +"ip_type": "BUS", +}, +"ps7_cortexa9_0": {"hier_name": "ps7_cortexa9_0", +"type": "ps7_cortexa9", +"version": "5.2", +"ip_type": "PROCESSOR", +}, +"ps7_cortexa9_1": {"hier_name": "ps7_cortexa9_1", +"type": "ps7_cortexa9", +"version": "5.2", +"ip_type": "PROCESSOR", +}, +"ps7_ddr_0": {"hier_name": "ps7_ddr_0", +"type": "ps7_ddr", +"version": "1.00.a", +"ip_type": "MEMORY_CNTLR", +}, +"ps7_ethernet_0": {"hier_name": "ps7_ethernet_0", +"type": "ps7_ethernet", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_ethernet_1": {"hier_name": "ps7_ethernet_1", +"type": "ps7_ethernet", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_sd_0": {"hier_name": "ps7_sd_0", +"type": "ps7_sdio", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_i2c_0": {"hier_name": "ps7_i2c_0", +"type": "ps7_i2c", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_ttc_0": {"hier_name": "ps7_ttc_0", +"type": "ps7_ttc", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_gpio_0": {"hier_name": "ps7_gpio_0", +"type": "ps7_gpio", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_ddrc_0": {"hier_name": "ps7_ddrc_0", +"type": "ps7_ddrc", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_dev_cfg_0": {"hier_name": "ps7_dev_cfg_0", +"type": "ps7_dev_cfg", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_xadc_0": {"hier_name": "ps7_xadc_0", +"type": "ps7_xadc", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_ocmc_0": {"hier_name": "ps7_ocmc_0", +"type": "ps7_ocmc", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_coresight_comp_0": {"hier_name": "ps7_coresight_comp_0", +"type": "ps7_coresight_comp", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_gpv_0": {"hier_name": "ps7_gpv_0", +"type": "ps7_gpv", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_scuc_0": {"hier_name": "ps7_scuc_0", +"type": "ps7_scuc", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_globaltimer_0": {"hier_name": "ps7_globaltimer_0", +"type": "ps7_globaltimer", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_intc_dist_0": {"hier_name": "ps7_intc_dist_0", +"type": "ps7_intc_dist", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_l2cachec_0": {"hier_name": "ps7_l2cachec_0", +"type": "ps7_l2cachec", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_dma_s": {"hier_name": "ps7_dma_s", +"type": "ps7_dma", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_iop_bus_config_0": {"hier_name": "ps7_iop_bus_config_0", +"type": "ps7_iop_bus_config", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_ram_0": {"hier_name": "ps7_ram_0", +"type": "ps7_ram", +"version": "1.00.a", +"ip_type": "MEMORY_CNTLR", +}, +"ps7_ram_1": {"hier_name": "ps7_ram_1", +"type": "ps7_ram", +"version": "1.00.a", +"ip_type": "MEMORY_CNTLR", +}, +"ps7_scugic_0": {"hier_name": "ps7_scugic_0", +"type": "ps7_scugic", +"version": "1.00.a", +"ip_type": "INTERRUPT_CNTLR", +}, +"ps7_scutimer_0": {"hier_name": "ps7_scutimer_0", +"type": "ps7_scutimer", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_scuwdt_0": {"hier_name": "ps7_scuwdt_0", +"type": "ps7_scuwdt", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_slcr_0": {"hier_name": "ps7_slcr_0", +"type": "ps7_slcr", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_dma_ns": {"hier_name": "ps7_dma_ns", +"type": "ps7_dma", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_afi_0": {"hier_name": "ps7_afi_0", +"type": "ps7_afi", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_afi_1": {"hier_name": "ps7_afi_1", +"type": "ps7_afi", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_afi_2": {"hier_name": "ps7_afi_2", +"type": "ps7_afi", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_afi_3": {"hier_name": "ps7_afi_3", +"type": "ps7_afi", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_m_axi_gp0": {"hier_name": "ps7_m_axi_gp0", +"type": "ps7_m_axi_gp", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +}]. Thread: main + +!ENTRY com.xilinx.sdk.utils 0 0 2016-11-04 12:18:05.763 +!MESSAGE XSDB Command: [::hsi::utils::get_all_periphs -json /tmp/system8236768140468482288.hdf], Thread: main + +!ENTRY com.xilinx.sdk.utils 0 0 2016-11-04 12:18:05.783 +!MESSAGE XSDB command with result: [::hsi::utils::get_all_periphs -json /tmp/system8236768140468482288.hdf], Result: [null, {"axi_wb_i2c_master_0": {"hier_name": "axi_wb_i2c_master_0", +"type": "axi_wb_i2c_master", +"version": "2.5", +"ip_type": "PERIPHERAL", +}, +"axi_wb_i2c_master_1": {"hier_name": "axi_wb_i2c_master_1", +"type": "axi_wb_i2c_master", +"version": "2.5", +"ip_type": "PERIPHERAL", +}, +"axi_wb_i2c_master_2": {"hier_name": "axi_wb_i2c_master_2", +"type": "axi_wb_i2c_master", +"version": "2.5", +"ip_type": "PERIPHERAL", +}, +"drive_constants_xlconstant_0": {"hier_name": "drive_constants_xlconstant_0", +"type": "xlconstant", +"version": "1.1", +"ip_type": "PERIPHERAL", +}, +"drive_constants_xlconstant_1": {"hier_name": "drive_constants_xlconstant_1", +"type": "xlconstant", +"version": "1.1", +"ip_type": "PERIPHERAL", +}, +"drive_constants_xlconstant_2": {"hier_name": "drive_constants_xlconstant_2", +"type": "xlconstant", +"version": "1.1", +"ip_type": "PERIPHERAL", +}, +"drive_constants_xlconstant_3": {"hier_name": "drive_constants_xlconstant_3", +"type": "xlconstant", +"version": "1.1", +"ip_type": "PERIPHERAL", +}, +"drive_constants_xlconstant_5": {"hier_name": "drive_constants_xlconstant_5", +"type": "xlconstant", +"version": "1.1", +"ip_type": "PERIPHERAL", +}, +"drive_constants_xlconstant_7": {"hier_name": "drive_constants_xlconstant_7", +"type": "xlconstant", +"version": "1.1", +"ip_type": "PERIPHERAL", +}, +"fasec_hwtest_0": {"hier_name": "fasec_hwtest_0", +"type": "fasec_hwtest", +"version": "2.5.2", +"ip_type": "PERIPHERAL", +}, +"gig_ethernet_pcs_pma_0": {"hier_name": "gig_ethernet_pcs_pma_0", +"type": "gig_ethernet_pcs_pma", +"version": "15.2", +"ip_type": "PERIPHERAL", +}, +"processing_system7_0": {"hier_name": "processing_system7_0", +"type": "processing_system7", +"version": "5.5", +"ip_type": "", +}, +"processing_system7_0_axi_periph": {"hier_name": "processing_system7_0_axi_periph", +"type": "axi_interconnect", +"version": "2.1", +"ip_type": "BUS", +}, +"rst_processing_system7_0_100M": {"hier_name": "rst_processing_system7_0_100M", +"type": "proc_sys_reset", +"version": "5.0", +"ip_type": "PERIPHERAL", +}, +"xlconstant_4": {"hier_name": "xlconstant_4", +"type": "xlconstant", +"version": "1.1", +"ip_type": "PERIPHERAL", +}, +"xlconstant_6": {"hier_name": "xlconstant_6", +"type": "xlconstant", +"version": "1.1", +"ip_type": "PERIPHERAL", +}, +"ps7_clockc_0": {"hier_name": "ps7_clockc_0", +"type": "ps7_clockc", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_uart_1": {"hier_name": "ps7_uart_1", +"type": "ps7_uart", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_pl310_0": {"hier_name": "ps7_pl310_0", +"type": "ps7_pl310", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_pmu_0": {"hier_name": "ps7_pmu_0", +"type": "ps7_pmu", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_qspi_0": {"hier_name": "ps7_qspi_0", +"type": "ps7_qspi", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_qspi_linear_0": {"hier_name": "ps7_qspi_linear_0", +"type": "ps7_qspi_linear", +"version": "1.00.a", +"ip_type": "MEMORY_CNTLR", +}, +"ps7_axi_interconnect_0": {"hier_name": "ps7_axi_interconnect_0", +"type": "ps7_axi_interconnect", +"version": "1.00.a", +"ip_type": "BUS", +}, +"ps7_cortexa9_0": {"hier_name": "ps7_cortexa9_0", +"type": "ps7_cortexa9", +"version": "5.2", +"ip_type": "PROCESSOR", +}, +"ps7_cortexa9_1": {"hier_name": "ps7_cortexa9_1", +"type": "ps7_cortexa9", +"version": "5.2", +"ip_type": "PROCESSOR", +}, +"ps7_ddr_0": {"hier_name": "ps7_ddr_0", +"type": "ps7_ddr", +"version": "1.00.a", +"ip_type": "MEMORY_CNTLR", +}, +"ps7_ethernet_0": {"hier_name": "ps7_ethernet_0", +"type": "ps7_ethernet", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_ethernet_1": {"hier_name": "ps7_ethernet_1", +"type": "ps7_ethernet", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_sd_0": {"hier_name": "ps7_sd_0", +"type": "ps7_sdio", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_i2c_0": {"hier_name": "ps7_i2c_0", +"type": "ps7_i2c", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_ttc_0": {"hier_name": "ps7_ttc_0", +"type": "ps7_ttc", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_gpio_0": {"hier_name": "ps7_gpio_0", +"type": "ps7_gpio", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_ddrc_0": {"hier_name": "ps7_ddrc_0", +"type": "ps7_ddrc", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_dev_cfg_0": {"hier_name": "ps7_dev_cfg_0", +"type": "ps7_dev_cfg", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_xadc_0": {"hier_name": "ps7_xadc_0", +"type": "ps7_xadc", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_ocmc_0": {"hier_name": "ps7_ocmc_0", +"type": "ps7_ocmc", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_coresight_comp_0": {"hier_name": "ps7_coresight_comp_0", +"type": "ps7_coresight_comp", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_gpv_0": {"hier_name": "ps7_gpv_0", +"type": "ps7_gpv", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_scuc_0": {"hier_name": "ps7_scuc_0", +"type": "ps7_scuc", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_globaltimer_0": {"hier_name": "ps7_globaltimer_0", +"type": "ps7_globaltimer", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_intc_dist_0": {"hier_name": "ps7_intc_dist_0", +"type": "ps7_intc_dist", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_l2cachec_0": {"hier_name": "ps7_l2cachec_0", +"type": "ps7_l2cachec", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_dma_s": {"hier_name": "ps7_dma_s", +"type": "ps7_dma", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_iop_bus_config_0": {"hier_name": "ps7_iop_bus_config_0", +"type": "ps7_iop_bus_config", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_ram_0": {"hier_name": "ps7_ram_0", +"type": "ps7_ram", +"version": "1.00.a", +"ip_type": "MEMORY_CNTLR", +}, +"ps7_ram_1": {"hier_name": "ps7_ram_1", +"type": "ps7_ram", +"version": "1.00.a", +"ip_type": "MEMORY_CNTLR", +}, +"ps7_scugic_0": {"hier_name": "ps7_scugic_0", +"type": "ps7_scugic", +"version": "1.00.a", +"ip_type": "INTERRUPT_CNTLR", +}, +"ps7_scutimer_0": {"hier_name": "ps7_scutimer_0", +"type": "ps7_scutimer", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_scuwdt_0": {"hier_name": "ps7_scuwdt_0", +"type": "ps7_scuwdt", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_slcr_0": {"hier_name": "ps7_slcr_0", +"type": "ps7_slcr", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_dma_ns": {"hier_name": "ps7_dma_ns", +"type": "ps7_dma", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_afi_0": {"hier_name": "ps7_afi_0", +"type": "ps7_afi", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_afi_1": {"hier_name": "ps7_afi_1", +"type": "ps7_afi", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_afi_2": {"hier_name": "ps7_afi_2", +"type": "ps7_afi", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_afi_3": {"hier_name": "ps7_afi_3", +"type": "ps7_afi", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +"ps7_m_axi_gp0": {"hier_name": "ps7_m_axi_gp0", +"type": "ps7_m_axi_gp", +"version": "1.00.a", +"ip_type": "PERIPHERAL", +}, +}]. Thread: main + +!ENTRY com.xilinx.sdk.utils 0 0 2016-11-04 12:18:06.606 +!MESSAGE XSDB Command: [::hsi::utils::sync_sw_with_hw_changes -newhw /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.sdk2/system_design_wrapper_hw_platform_0/system.hdf -oldhw /tmp/system8236768140468482288.hdf -sw /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.sdk2/standalone_bsp_1/system.mss -dir /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.sdk2/standalone_bsp_1], Thread: ModalContext + +!ENTRY com.xilinx.sdk.utils 0 0 2016-11-04 12:18:06.755 +!MESSAGE XSDB command with result: [::hsi::utils::sync_sw_with_hw_changes -newhw /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.sdk2/system_design_wrapper_hw_platform_0/system.hdf -oldhw /tmp/system8236768140468482288.hdf -sw /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.sdk2/standalone_bsp_1/system.mss -dir /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.sdk2/standalone_bsp_1], Result: [{Format=ERROR: [Common 17-39] 'hsi::open_sw_design' failed due to earlier errors. +, Time=1478258286755, Code=1}, ]. Thread: ModalContext + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:31.040 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.core.CommandLauncher.printCommandLine(CommandLauncher.java:287) + at org.eclipse.cdt.core.CommandLauncher.waitAndRead(CommandLauncher.java:250) + at org.eclipse.cdt.internal.core.BuildRunnerHelper.build(BuildRunnerHelper.java:273) + at org.eclipse.cdt.make.core.MakeBuilder.invokeMake(MakeBuilder.java:219) + at org.eclipse.cdt.make.core.MakeBuilder.build(MakeBuilder.java:108) + at org.eclipse.core.internal.events.BuildManager$2.run(BuildManager.java:734) + at org.eclipse.core.runtime.SafeRunner.run(SafeRunner.java:42) + at org.eclipse.core.internal.events.BuildManager.basicBuild(BuildManager.java:205) + at org.eclipse.core.internal.events.BuildManager.basicBuild(BuildManager.java:245) + at org.eclipse.core.internal.events.BuildManager$1.run(BuildManager.java:300) + at org.eclipse.core.runtime.SafeRunner.run(SafeRunner.java:42) + at org.eclipse.core.internal.events.BuildManager.basicBuild(BuildManager.java:303) + at org.eclipse.core.internal.events.BuildManager.basicBuildLoop(BuildManager.java:359) + at org.eclipse.core.internal.events.BuildManager.build(BuildManager.java:382) + at org.eclipse.core.internal.resources.Workspace.buildInternal(Workspace.java:486) + at org.eclipse.core.internal.resources.Workspace.build(Workspace.java:398) + at org.eclipse.ui.actions.GlobalBuildAction$1.run(GlobalBuildAction.java:182) + at org.eclipse.core.internal.jobs.Worker.run(Worker.java:55) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:31.064 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:31.069 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:31.093 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:31.098 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:31.104 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:31.111 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:31.118 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:31.122 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:31.131 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:31.134 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:31.142 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:31.146 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:31.158 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:31.160 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:31.169 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:31.173 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:31.183 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:31.186 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:31.193 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:31.196 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:31.207 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:31.210 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:31.217 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:31.220 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:31.227 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:31.231 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:31.238 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:31.241 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:31.250 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:31.254 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:31.262 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:31.266 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:31.288 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:31.292 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:31.299 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:31.302 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:31.310 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:31.313 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:31.316 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:32.084 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:32.092 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:32.096 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:32.099 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:32.109 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:32.113 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:32.128 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:32.249 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:32.253 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:32.257 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:32.263 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:32.267 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:32.273 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:32.933 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:32.936 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:32.942 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:33.651 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:33.655 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:33.667 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:34.210 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:34.214 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:34.238 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:34.691 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:34.693 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:34.699 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:35.475 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:35.478 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:35.482 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:36.001 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:36.005 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:36.022 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:36.637 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:36.641 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:36.654 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:37.322 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:37.339 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:37.340 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:37.567 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:37.571 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:37.577 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:37.861 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:37.864 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:37.870 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:37.920 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:37.924 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:37.931 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:39.914 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:39.919 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:39.927 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:40.519 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:40.522 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:40.527 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:40.723 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.processLine(ConsoleOutputSniffer.java:178) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.access$0(ConsoleOutputSniffer.java:174) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.checkLine(ConsoleOutputSniffer.java:99) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.write(ConsoleOutputSniffer.java:58) + at java.io.OutputStream.write(OutputStream.java:75) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.run(ProcessClosure.java:57) + +!ENTRY org.eclipse.cdt.core 4 0 2016-11-04 12:19:40.771 +!MESSAGE Error +!STACK 0 +java.lang.NullPointerException + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer.closeConsoleOutputStream(ConsoleOutputSniffer.java:160) + at org.eclipse.cdt.internal.core.ConsoleOutputSniffer$ConsoleOutputStream.close(ConsoleOutputSniffer.java:68) + at org.eclipse.cdt.internal.core.ProcessClosure$ReaderThread.close(ProcessClosure.java:98) + at org.eclipse.cdt.internal.core.ProcessClosure.isAlive(ProcessClosure.java:193) + at org.eclipse.cdt.core.CommandLauncher.waitAndRead(CommandLauncher.java:259) + at org.eclipse.cdt.internal.core.BuildRunnerHelper.build(BuildRunnerHelper.java:273) + at org.eclipse.cdt.make.core.MakeBuilder.invokeMake(MakeBuilder.java:219) + at org.eclipse.cdt.make.core.MakeBuilder.build(MakeBuilder.java:108) + at org.eclipse.core.internal.events.BuildManager$2.run(BuildManager.java:734) + at org.eclipse.core.runtime.SafeRunner.run(SafeRunner.java:42) + at org.eclipse.core.internal.events.BuildManager.basicBuild(BuildManager.java:205) + at org.eclipse.core.internal.events.BuildManager.basicBuild(BuildManager.java:245) + at org.eclipse.core.internal.events.BuildManager$1.run(BuildManager.java:300) + at org.eclipse.core.runtime.SafeRunner.run(SafeRunner.java:42) + at org.eclipse.core.internal.events.BuildManager.basicBuild(BuildManager.java:303) + at org.eclipse.core.internal.events.BuildManager.basicBuildLoop(BuildManager.java:359) + at org.eclipse.core.internal.events.BuildManager.build(BuildManager.java:382) + at org.eclipse.core.internal.resources.Workspace.buildInternal(Workspace.java:486) + at org.eclipse.core.internal.resources.Workspace.build(Workspace.java:398) + at org.eclipse.ui.actions.GlobalBuildAction$1.run(GlobalBuildAction.java:182) + at org.eclipse.core.internal.jobs.Worker.run(Worker.java:55) diff --git a/FASEC_prototype.sdk2/.metadata/.plugins/org.eclipse.cdt.core/.log b/FASEC_prototype.sdk2/.metadata/.plugins/org.eclipse.cdt.core/.log index b826bef8..5aa3bf1a 100644 --- a/FASEC_prototype.sdk2/.metadata/.plugins/org.eclipse.cdt.core/.log +++ b/FASEC_prototype.sdk2/.metadata/.plugins/org.eclipse.cdt.core/.log @@ -4,3 +4,6 @@ *** SESSION Oct 31, 2016 17:45:02.19 ------------------------------------------- *** SESSION Nov 01, 2016 18:19:17.79 ------------------------------------------- *** SESSION Nov 02, 2016 08:05:40.66 ------------------------------------------- +*** SESSION Nov 03, 2016 17:48:59.39 ------------------------------------------- +*** SESSION Nov 04, 2016 10:59:58.73 ------------------------------------------- +*** SESSION Nov 04, 2016 11:11:42.04 ------------------------------------------- diff --git a/FASEC_prototype.sdk2/.metadata/.plugins/org.eclipse.cdt.ui/FASEC_Periph-test.build.log b/FASEC_prototype.sdk2/.metadata/.plugins/org.eclipse.cdt.ui/FASEC_Periph-test.build.log index a9a0be7d..e96c7188 100644 --- a/FASEC_prototype.sdk2/.metadata/.plugins/org.eclipse.cdt.ui/FASEC_Periph-test.build.log +++ b/FASEC_prototype.sdk2/.metadata/.plugins/org.eclipse.cdt.ui/FASEC_Periph-test.build.log @@ -1,4 +1,4 @@ -18:21:03 **** Build of configuration Debug for project FASEC_Periph-test **** +12:19:42 **** Build of configuration Debug for project FASEC_Periph-test **** make pre-build main-build a9-linaro-pre-build-step @@ -117,5 +117,5 @@ arm-none-eabi-size FASEC_Periph-test.elf |tee "FASEC_Periph-test.elf.size" Finished building: FASEC_Periph-test.elf.size -18:21:05 Build Finished (took 1s.879ms) +12:19:44 Build Finished (took 1s.504ms) diff --git a/FASEC_prototype.sdk2/.metadata/.plugins/org.eclipse.cdt.ui/FASEC_SFP-Periph-test.build.log b/FASEC_prototype.sdk2/.metadata/.plugins/org.eclipse.cdt.ui/FASEC_SFP-Periph-test.build.log index 802d0f4c..e467acf1 100644 --- a/FASEC_prototype.sdk2/.metadata/.plugins/org.eclipse.cdt.ui/FASEC_SFP-Periph-test.build.log +++ b/FASEC_prototype.sdk2/.metadata/.plugins/org.eclipse.cdt.ui/FASEC_SFP-Periph-test.build.log @@ -1,7 +1,82 @@ -18:19:47 **** Clean-only build of configuration Debug for project FASEC_SFP-Periph-test **** -make clean -rm -rf ./src/testperiph.o ./src/xdevcfg_selftest_example.o ./src/xdmaps_example_w_intr.o ./src/xemacps_example_intr_dma.o ./src/xemacps_example_util.o ./src/xiicps_selftest_example.o ./src/xqspips_selftest_example.o ./src/xscugic_tapp_example.o ./src/xscutimer_intr_example.o ./src/xscutimer_polled_example.o ./src/xscuwdt_intr_example.o ./src/xttcps_tapp_example.o ./src/testperiph.d ./src/xdevcfg_selftest_example.d ./src/xdmaps_example_w_intr.d ./src/xemacps_example_intr_dma.d ./src/xemacps_example_util.d ./src/xiicps_selftest_example.d ./src/xqspips_selftest_example.d ./src/xscugic_tapp_example.d ./src/xscutimer_intr_example.d ./src/xscutimer_polled_example.d ./src/xscuwdt_intr_example.d ./src/xttcps_tapp_example.d FASEC_SFP-Periph-test.elf.size FASEC_SFP-Periph-test.elf +12:19:40 **** Build of configuration Debug for project FASEC_SFP-Periph-test **** +make pre-build main-build +a9-linaro-pre-build-step + +Building file: ../src/testperiph.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/testperiph.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/testperiph.d" -MT"src/testperiph.o" -o "src/testperiph.o" "../src/testperiph.c" +Finished building: ../src/testperiph.c + +Building file: ../src/xdevcfg_selftest_example.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xdevcfg_selftest_example.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xdevcfg_selftest_example.d" -MT"src/xdevcfg_selftest_example.o" -o "src/xdevcfg_selftest_example.o" "../src/xdevcfg_selftest_example.c" +Finished building: ../src/xdevcfg_selftest_example.c + +Building file: ../src/xdmaps_example_w_intr.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xdmaps_example_w_intr.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xdmaps_example_w_intr.d" -MT"src/xdmaps_example_w_intr.o" -o "src/xdmaps_example_w_intr.o" "../src/xdmaps_example_w_intr.c" +Finished building: ../src/xdmaps_example_w_intr.c + +Building file: ../src/xemacps_example_intr_dma.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xemacps_example_intr_dma.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xemacps_example_intr_dma.d" -MT"src/xemacps_example_intr_dma.o" -o "src/xemacps_example_intr_dma.o" "../src/xemacps_example_intr_dma.c" +../src/xemacps_example_intr_dma.c: In function ‘XEmacPsClkSetup’: +../src/xemacps_example_intr_dma.c:1289:6: warning: unused variable ‘CrlApbClkCntrl’ [-Wunused-variable] + u32 CrlApbClkCntrl; + ^ +Finished building: ../src/xemacps_example_intr_dma.c + +Building file: ../src/xemacps_example_util.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xemacps_example_util.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xemacps_example_util.d" -MT"src/xemacps_example_util.o" -o "src/xemacps_example_util.o" "../src/xemacps_example_util.c" +Finished building: ../src/xemacps_example_util.c + +Building file: ../src/xiicps_selftest_example.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xiicps_selftest_example.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xiicps_selftest_example.d" -MT"src/xiicps_selftest_example.o" -o "src/xiicps_selftest_example.o" "../src/xiicps_selftest_example.c" +Finished building: ../src/xiicps_selftest_example.c + +Building file: ../src/xqspips_selftest_example.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xqspips_selftest_example.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xqspips_selftest_example.d" -MT"src/xqspips_selftest_example.o" -o "src/xqspips_selftest_example.o" "../src/xqspips_selftest_example.c" +Finished building: ../src/xqspips_selftest_example.c + +Building file: ../src/xscugic_tapp_example.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xscugic_tapp_example.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xscugic_tapp_example.d" -MT"src/xscugic_tapp_example.o" -o "src/xscugic_tapp_example.o" "../src/xscugic_tapp_example.c" +Finished building: ../src/xscugic_tapp_example.c + +Building file: ../src/xscutimer_intr_example.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xscutimer_intr_example.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xscutimer_intr_example.d" -MT"src/xscutimer_intr_example.o" -o "src/xscutimer_intr_example.o" "../src/xscutimer_intr_example.c" +Finished building: ../src/xscutimer_intr_example.c + +Building file: ../src/xscutimer_polled_example.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xscutimer_polled_example.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xscutimer_polled_example.d" -MT"src/xscutimer_polled_example.o" -o "src/xscutimer_polled_example.o" "../src/xscutimer_polled_example.c" +Finished building: ../src/xscutimer_polled_example.c + +Building file: ../src/xscuwdt_intr_example.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xscuwdt_intr_example.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xscuwdt_intr_example.d" -MT"src/xscuwdt_intr_example.o" -o "src/xscuwdt_intr_example.o" "../src/xscuwdt_intr_example.c" +Finished building: ../src/xscuwdt_intr_example.c + +Building file: ../src/xttcps_tapp_example.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xttcps_tapp_example.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xttcps_tapp_example.d" -MT"src/xttcps_tapp_example.o" -o "src/xttcps_tapp_example.o" "../src/xttcps_tapp_example.c" +Finished building: ../src/xttcps_tapp_example.c + +Building target: FASEC_SFP-Periph-test.elf +Invoking: ARM v7 gcc linker +arm-none-eabi-gcc -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -Wl,-build-id=none -specs=Xilinx.spec -Wl,-T -Wl,../src/lscript.ld -L../../standalone_bsp_1/ps7_cortexa9_0/lib -o "FASEC_SFP-Periph-test.elf" ./src/testperiph.o ./src/xdevcfg_selftest_example.o ./src/xdmaps_example_w_intr.o ./src/xemacps_example_intr_dma.o ./src/xemacps_example_util.o ./src/xiicps_selftest_example.o ./src/xqspips_selftest_example.o ./src/xscugic_tapp_example.o ./src/xscutimer_intr_example.o ./src/xscutimer_polled_example.o ./src/xscuwdt_intr_example.o ./src/xttcps_tapp_example.o -Wl,--start-group,-lxil,-lgcc,-lc,--end-group +Finished building target: FASEC_SFP-Periph-test.elf + +Invoking: ARM v7 Print Size +arm-none-eabi-size FASEC_SFP-Periph-test.elf |tee "FASEC_SFP-Periph-test.elf.size" + text data bss dec hex filename + 79140 2944 54608 136692 215f4 FASEC_SFP-Periph-test.elf +Finished building: FASEC_SFP-Periph-test.elf.size -18:19:47 Build Finished (took 66ms) +12:19:42 Build Finished (took 1s.281ms) diff --git a/FASEC_prototype.sdk2/.metadata/.plugins/org.eclipse.cdt.ui/global-build.log b/FASEC_prototype.sdk2/.metadata/.plugins/org.eclipse.cdt.ui/global-build.log index 6fa330ef..d4937114 100644 --- a/FASEC_prototype.sdk2/.metadata/.plugins/org.eclipse.cdt.ui/global-build.log +++ b/FASEC_prototype.sdk2/.metadata/.plugins/org.eclipse.cdt.ui/global-build.log @@ -566,3 +566,909 @@ arm-none-eabi-size FASEC_Periph-test.elf |tee "FASEC_Periph-test.elf.size" 130092 4376 55376 189844 2e594 FASEC_Periph-test.elf Finished building: FASEC_Periph-test.elf.size +17:49:24 **** Clean-only build of project standalone_bsp_1 **** +make -k clean +rm -f ps7_cortexa9_0/lib/libxil.a +17:49:24 **** Clean-only build of configuration Debug for project FASEC_Periph-test **** +make clean +rm -rf ./src/testperiph.o ./src/xdevcfg_selftest_example.o ./src/xdmaps_example_w_intr.o ./src/xemacps_example_intr_dma.o ./src/xemacps_example_util.o ./src/xiicps_selftest_example.o ./src/xqspips_selftest_example.o ./src/xscugic_tapp_example.o ./src/xscutimer_intr_example.o ./src/xscutimer_polled_example.o ./src/xscuwdt_intr_example.o ./src/xttcps_tapp_example.o ./src/testperiph.d ./src/xdevcfg_selftest_example.d ./src/xdmaps_example_w_intr.d ./src/xemacps_example_intr_dma.d ./src/xemacps_example_util.d ./src/xiicps_selftest_example.d ./src/xqspips_selftest_example.d ./src/xscugic_tapp_example.d ./src/xscutimer_intr_example.d ./src/xscutimer_polled_example.d ./src/xscuwdt_intr_example.d ./src/xttcps_tapp_example.d FASEC_Periph-test.elf.size FASEC_Periph-test.elf + +17:49:25 **** Clean-only build of configuration Debug for project FASEC_SFP-Periph-test **** +make clean +rm -rf ./src/testperiph.o ./src/xdevcfg_selftest_example.o ./src/xdmaps_example_w_intr.o ./src/xemacps_example_intr_dma.o ./src/xemacps_example_util.o ./src/xiicps_selftest_example.o ./src/xqspips_selftest_example.o ./src/xscugic_tapp_example.o ./src/xscutimer_intr_example.o ./src/xscutimer_polled_example.o ./src/xscuwdt_intr_example.o ./src/xttcps_tapp_example.o ./src/testperiph.d ./src/xdevcfg_selftest_example.d ./src/xdmaps_example_w_intr.d ./src/xemacps_example_intr_dma.d ./src/xemacps_example_util.d ./src/xiicps_selftest_example.d ./src/xqspips_selftest_example.d ./src/xscugic_tapp_example.d ./src/xscutimer_intr_example.d ./src/xscutimer_polled_example.d ./src/xscuwdt_intr_example.d ./src/xttcps_tapp_example.d FASEC_SFP-Periph-test.elf.size FASEC_SFP-Periph-test.elf + +17:49:43 **** Build of project standalone_bsp_1 **** +make -k all +Running Make include in ps7_cortexa9_0/libsrc/qspips_v3_3/src +make -C ps7_cortexa9_0/libsrc/qspips_v3_3/src -s include "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Running Make include in ps7_cortexa9_0/libsrc/ddrps_v1_0/src +make -C ps7_cortexa9_0/libsrc/ddrps_v1_0/src -s include "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Running Make include in ps7_cortexa9_0/libsrc/axi_wb_i2c_master_v1_0/src +make -C ps7_cortexa9_0/libsrc/axi_wb_i2c_master_v1_0/src -s include "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Running Make include in ps7_cortexa9_0/libsrc/cpu_cortexa9_v2_2/src +make -C ps7_cortexa9_0/libsrc/cpu_cortexa9_v2_2/src -s include "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Running Make include in ps7_cortexa9_0/libsrc/sdps_v2_8/src +make -C ps7_cortexa9_0/libsrc/sdps_v2_8/src -s include "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Running Make include in ps7_cortexa9_0/libsrc/emacps_v3_2/src +make -C ps7_cortexa9_0/libsrc/emacps_v3_2/src -s include "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Running Make include in ps7_cortexa9_0/libsrc/uartps_v3_1/src +make -C ps7_cortexa9_0/libsrc/uartps_v3_1/src -s include "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Running Make include in ps7_cortexa9_0/libsrc/scugic_v3_3/src +make -C ps7_cortexa9_0/libsrc/scugic_v3_3/src -s include "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Running Make include in ps7_cortexa9_0/libsrc/iicps_v3_2/src +make -C ps7_cortexa9_0/libsrc/iicps_v3_2/src -s include "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Running Make include in ps7_cortexa9_0/libsrc/devcfg_v3_4/src +make -C ps7_cortexa9_0/libsrc/devcfg_v3_4/src -s include "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Running Make include in ps7_cortexa9_0/libsrc/dmaps_v2_1/src +make -C ps7_cortexa9_0/libsrc/dmaps_v2_1/src -s include "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Running Make include in ps7_cortexa9_0/libsrc/gpiops_v3_1/src +make -C ps7_cortexa9_0/libsrc/gpiops_v3_1/src -s include "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Running Make include in ps7_cortexa9_0/libsrc/scuwdt_v2_1/src +make -C ps7_cortexa9_0/libsrc/scuwdt_v2_1/src -s include "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Running Make include in ps7_cortexa9_0/libsrc/ttcps_v3_1/src +make -C ps7_cortexa9_0/libsrc/ttcps_v3_1/src -s include "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Running Make include in ps7_cortexa9_0/libsrc/coresightps_dcc_v1_2/src +make -C ps7_cortexa9_0/libsrc/coresightps_dcc_v1_2/src -s include "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Running Make include in ps7_cortexa9_0/libsrc/standalone_v5_5/src +make -C ps7_cortexa9_0/libsrc/standalone_v5_5/src -s include "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Running Make include in ps7_cortexa9_0/libsrc/xadcps_v2_2/src +make -C ps7_cortexa9_0/libsrc/xadcps_v2_2/src -s include "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Running Make include in ps7_cortexa9_0/libsrc/scutimer_v2_1/src +make -C ps7_cortexa9_0/libsrc/scutimer_v2_1/src -s include "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Running Make libs in ps7_cortexa9_0/libsrc/qspips_v3_3/src +make -C ps7_cortexa9_0/libsrc/qspips_v3_3/src -s libs "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Compiling qspips +arm-none-eabi-ar: creating ../../../lib/libxil.a +Running Make libs in ps7_cortexa9_0/libsrc/ddrps_v1_0/src +make -C ps7_cortexa9_0/libsrc/ddrps_v1_0/src -s libs "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Compiling ddrps +Running Make libs in ps7_cortexa9_0/libsrc/axi_wb_i2c_master_v1_0/src +make -C ps7_cortexa9_0/libsrc/axi_wb_i2c_master_v1_0/src -s libs "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Compiling axi_wb_i2c_master... +Running Make libs in ps7_cortexa9_0/libsrc/cpu_cortexa9_v2_2/src +make -C ps7_cortexa9_0/libsrc/cpu_cortexa9_v2_2/src -s libs "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Compiling cpu_cortexa9 +Running Make libs in ps7_cortexa9_0/libsrc/sdps_v2_8/src +make -C ps7_cortexa9_0/libsrc/sdps_v2_8/src -s libs "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Compiling sdps +Running Make libs in ps7_cortexa9_0/libsrc/emacps_v3_2/src +make -C ps7_cortexa9_0/libsrc/emacps_v3_2/src -s libs "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Compiling emacps +Running Make libs in ps7_cortexa9_0/libsrc/uartps_v3_1/src +make -C ps7_cortexa9_0/libsrc/uartps_v3_1/src -s libs "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Compiling uartps +Running Make libs in ps7_cortexa9_0/libsrc/scugic_v3_3/src +make -C ps7_cortexa9_0/libsrc/scugic_v3_3/src -s libs "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Compiling scugic +Running Make libs in ps7_cortexa9_0/libsrc/iicps_v3_2/src +make -C ps7_cortexa9_0/libsrc/iicps_v3_2/src -s libs "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Compiling iicps +Running Make libs in ps7_cortexa9_0/libsrc/devcfg_v3_4/src +make -C ps7_cortexa9_0/libsrc/devcfg_v3_4/src -s libs "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Compiling devcfg +Running Make libs in ps7_cortexa9_0/libsrc/dmaps_v2_1/src +make -C ps7_cortexa9_0/libsrc/dmaps_v2_1/src -s libs "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Compiling dmaps +Running Make libs in ps7_cortexa9_0/libsrc/gpiops_v3_1/src +make -C ps7_cortexa9_0/libsrc/gpiops_v3_1/src -s libs "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Compiling gpiops +Running Make libs in ps7_cortexa9_0/libsrc/scuwdt_v2_1/src +make -C ps7_cortexa9_0/libsrc/scuwdt_v2_1/src -s libs "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Compiling scuwdt +Running Make libs in ps7_cortexa9_0/libsrc/ttcps_v3_1/src +make -C ps7_cortexa9_0/libsrc/ttcps_v3_1/src -s libs "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Compiling ttcps +Running Make libs in ps7_cortexa9_0/libsrc/coresightps_dcc_v1_2/src +make -C ps7_cortexa9_0/libsrc/coresightps_dcc_v1_2/src -s libs "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Compiling coresightps_dcc +Running Make libs in ps7_cortexa9_0/libsrc/standalone_v5_5/src +make -C ps7_cortexa9_0/libsrc/standalone_v5_5/src -s libs "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Compiling standalone +Running Make libs in ps7_cortexa9_0/libsrc/xadcps_v2_2/src +make -C ps7_cortexa9_0/libsrc/xadcps_v2_2/src -s libs "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Compiling xadcps +Running Make libs in ps7_cortexa9_0/libsrc/scutimer_v2_1/src +make -C ps7_cortexa9_0/libsrc/scutimer_v2_1/src -s libs "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Compiling scutimer +Finished building libraries +17:49:51 **** Build of configuration Debug for project FASEC_SFP-Periph-test **** +make pre-build main-build +a9-linaro-pre-build-step + +Building file: ../src/testperiph.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/testperiph.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/testperiph.d" -MT"src/testperiph.o" -o "src/testperiph.o" "../src/testperiph.c" +Finished building: ../src/testperiph.c + +Building file: ../src/xdevcfg_selftest_example.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xdevcfg_selftest_example.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xdevcfg_selftest_example.d" -MT"src/xdevcfg_selftest_example.o" -o "src/xdevcfg_selftest_example.o" "../src/xdevcfg_selftest_example.c" +Finished building: ../src/xdevcfg_selftest_example.c + +Building file: ../src/xdmaps_example_w_intr.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xdmaps_example_w_intr.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xdmaps_example_w_intr.d" -MT"src/xdmaps_example_w_intr.o" -o "src/xdmaps_example_w_intr.o" "../src/xdmaps_example_w_intr.c" +Finished building: ../src/xdmaps_example_w_intr.c + +Building file: ../src/xemacps_example_intr_dma.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xemacps_example_intr_dma.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xemacps_example_intr_dma.d" -MT"src/xemacps_example_intr_dma.o" -o "src/xemacps_example_intr_dma.o" "../src/xemacps_example_intr_dma.c" +../src/xemacps_example_intr_dma.c: In function ‘XEmacPsClkSetup’: +../src/xemacps_example_intr_dma.c:1289:6: warning: unused variable ‘CrlApbClkCntrl’ [-Wunused-variable] + u32 CrlApbClkCntrl; + ^ +Finished building: ../src/xemacps_example_intr_dma.c + +Building file: ../src/xemacps_example_util.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xemacps_example_util.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xemacps_example_util.d" -MT"src/xemacps_example_util.o" -o "src/xemacps_example_util.o" "../src/xemacps_example_util.c" +Finished building: ../src/xemacps_example_util.c + +Building file: ../src/xiicps_selftest_example.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xiicps_selftest_example.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xiicps_selftest_example.d" -MT"src/xiicps_selftest_example.o" -o "src/xiicps_selftest_example.o" "../src/xiicps_selftest_example.c" +Finished building: ../src/xiicps_selftest_example.c + +Building file: ../src/xqspips_selftest_example.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xqspips_selftest_example.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xqspips_selftest_example.d" -MT"src/xqspips_selftest_example.o" -o "src/xqspips_selftest_example.o" "../src/xqspips_selftest_example.c" +Finished building: ../src/xqspips_selftest_example.c + +Building file: ../src/xscugic_tapp_example.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xscugic_tapp_example.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xscugic_tapp_example.d" -MT"src/xscugic_tapp_example.o" -o "src/xscugic_tapp_example.o" "../src/xscugic_tapp_example.c" +Finished building: ../src/xscugic_tapp_example.c + +Building file: ../src/xscutimer_intr_example.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xscutimer_intr_example.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xscutimer_intr_example.d" -MT"src/xscutimer_intr_example.o" -o "src/xscutimer_intr_example.o" "../src/xscutimer_intr_example.c" +Finished building: ../src/xscutimer_intr_example.c + +Building file: ../src/xscutimer_polled_example.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xscutimer_polled_example.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xscutimer_polled_example.d" -MT"src/xscutimer_polled_example.o" -o "src/xscutimer_polled_example.o" "../src/xscutimer_polled_example.c" +Finished building: ../src/xscutimer_polled_example.c + +Building file: ../src/xscuwdt_intr_example.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xscuwdt_intr_example.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xscuwdt_intr_example.d" -MT"src/xscuwdt_intr_example.o" -o "src/xscuwdt_intr_example.o" "../src/xscuwdt_intr_example.c" +Finished building: ../src/xscuwdt_intr_example.c + +Building file: ../src/xttcps_tapp_example.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xttcps_tapp_example.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xttcps_tapp_example.d" -MT"src/xttcps_tapp_example.o" -o "src/xttcps_tapp_example.o" "../src/xttcps_tapp_example.c" +Finished building: ../src/xttcps_tapp_example.c + +Building target: FASEC_SFP-Periph-test.elf +Invoking: ARM v7 gcc linker +arm-none-eabi-gcc -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -Wl,-build-id=none -specs=Xilinx.spec -Wl,-T -Wl,../src/lscript.ld -L../../standalone_bsp_1/ps7_cortexa9_0/lib -o "FASEC_SFP-Periph-test.elf" ./src/testperiph.o ./src/xdevcfg_selftest_example.o ./src/xdmaps_example_w_intr.o ./src/xemacps_example_intr_dma.o ./src/xemacps_example_util.o ./src/xiicps_selftest_example.o ./src/xqspips_selftest_example.o ./src/xscugic_tapp_example.o ./src/xscutimer_intr_example.o ./src/xscutimer_polled_example.o ./src/xscuwdt_intr_example.o ./src/xttcps_tapp_example.o -Wl,--start-group,-lxil,-lgcc,-lc,--end-group +Finished building target: FASEC_SFP-Periph-test.elf + +Invoking: ARM v7 Print Size +arm-none-eabi-size FASEC_SFP-Periph-test.elf |tee "FASEC_SFP-Periph-test.elf.size" + text data bss dec hex filename + 79140 2944 54608 136692 215f4 FASEC_SFP-Periph-test.elf +Finished building: FASEC_SFP-Periph-test.elf.size + +17:49:53 **** Build of configuration Debug for project FASEC_Periph-test **** +make pre-build main-build +a9-linaro-pre-build-step + +Building file: ../src/testperiph.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/testperiph.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/testperiph.d" -MT"src/testperiph.o" -o "src/testperiph.o" "../src/testperiph.c" +Finished building: ../src/testperiph.c + +Building file: ../src/xdevcfg_selftest_example.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xdevcfg_selftest_example.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xdevcfg_selftest_example.d" -MT"src/xdevcfg_selftest_example.o" -o "src/xdevcfg_selftest_example.o" "../src/xdevcfg_selftest_example.c" +Finished building: ../src/xdevcfg_selftest_example.c + +Building file: ../src/xdmaps_example_w_intr.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xdmaps_example_w_intr.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xdmaps_example_w_intr.d" -MT"src/xdmaps_example_w_intr.o" -o "src/xdmaps_example_w_intr.o" "../src/xdmaps_example_w_intr.c" +Finished building: ../src/xdmaps_example_w_intr.c + +Building file: ../src/xemacps_example_intr_dma.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xemacps_example_intr_dma.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xemacps_example_intr_dma.d" -MT"src/xemacps_example_intr_dma.o" -o "src/xemacps_example_intr_dma.o" "../src/xemacps_example_intr_dma.c" +../src/xemacps_example_intr_dma.c: In function ‘XEmacPsClkSetup’: +../src/xemacps_example_intr_dma.c:1294:6: warning: unused variable ‘CrlApbClkCntrl’ [-Wunused-variable] + u32 CrlApbClkCntrl; + ^ +Finished building: ../src/xemacps_example_intr_dma.c + +Building file: ../src/xemacps_example_util.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xemacps_example_util.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xemacps_example_util.d" -MT"src/xemacps_example_util.o" -o "src/xemacps_example_util.o" "../src/xemacps_example_util.c" +../src/xemacps_example_util.c: In function ‘EmacPsUtilMicrelPhyLoopback’: +../src/xemacps_example_util.c:708:2: warning: format ‘%u’ expects argument of type ‘unsigned int’, but argument 2 has type ‘u32’ [-Wformat=] + printf("phy port %u reg %u before speed config: %#010x \n\r", PhyAddr, RegAddr, test); + ^ +../src/xemacps_example_util.c:708:2: warning: format ‘%u’ expects argument of type ‘unsigned int’, but argument 3 has type ‘u32’ [-Wformat=] +../src/xemacps_example_util.c:708:2: warning: format ‘%u’ expects argument of type ‘unsigned int’, but argument 2 has type ‘u32’ [-Wformat=] +../src/xemacps_example_util.c:708:2: warning: format ‘%u’ expects argument of type ‘unsigned int’, but argument 3 has type ‘u32’ [-Wformat=] +../src/xemacps_example_util.c:726:2: warning: format ‘%u’ expects argument of type ‘unsigned int’, but argument 2 has type ‘u32’ [-Wformat=] + printf("phy port %u reg %u after reset: %#010x \n\r", PhyAddr, RegAddr, PhyReg0); + ^ +../src/xemacps_example_util.c:726:2: warning: format ‘%u’ expects argument of type ‘unsigned int’, but argument 3 has type ‘u32’ [-Wformat=] +../src/xemacps_example_util.c:726:2: warning: format ‘%u’ expects argument of type ‘unsigned int’, but argument 2 has type ‘u32’ [-Wformat=] +../src/xemacps_example_util.c:726:2: warning: format ‘%u’ expects argument of type ‘unsigned int’, but argument 3 has type ‘u32’ [-Wformat=] +../src/xemacps_example_util.c:740:3: warning: format ‘%u’ expects argument of type ‘unsigned int’, but argument 2 has type ‘u32’ [-Wformat=] + printf("PHY %u reset\n\r", i); + ^ +../src/xemacps_example_util.c:740:3: warning: format ‘%u’ expects argument of type ‘unsigned int’, but argument 2 has type ‘u32’ [-Wformat=] +../src/xemacps_example_util.c:761:2: warning: format ‘%u’ expects argument of type ‘unsigned int’, but argument 2 has type ‘u32’ [-Wformat=] + printf("written phy port %u reg %u : %#010x \n\r", PhyAddr, RegAddr, PhyReg0); + ^ +../src/xemacps_example_util.c:761:2: warning: format ‘%u’ expects argument of type ‘unsigned int’, but argument 3 has type ‘u32’ [-Wformat=] +../src/xemacps_example_util.c:761:2: warning: format ‘%u’ expects argument of type ‘unsigned int’, but argument 2 has type ‘u32’ [-Wformat=] +../src/xemacps_example_util.c:761:2: warning: format ‘%u’ expects argument of type ‘unsigned int’, but argument 3 has type ‘u32’ [-Wformat=] +../src/xemacps_example_util.c:767:2: warning: format ‘%u’ expects argument of type ‘unsigned int’, but argument 2 has type ‘u32’ [-Wformat=] + printf("phy port %u reg %u : %#010x \n\r", PhyAddr, RegAddr, PhyReg0); + ^ +../src/xemacps_example_util.c:767:2: warning: format ‘%u’ expects argument of type ‘unsigned int’, but argument 3 has type ‘u32’ [-Wformat=] +../src/xemacps_example_util.c:767:2: warning: format ‘%u’ expects argument of type ‘unsigned int’, but argument 2 has type ‘u32’ [-Wformat=] +../src/xemacps_example_util.c:767:2: warning: format ‘%u’ expects argument of type ‘unsigned int’, but argument 3 has type ‘u32’ [-Wformat=] +../src/xemacps_example_util.c:676:6: warning: unused variable ‘PhyReg1’ [-Wunused-variable] + u16 PhyReg1 = 0; + ^ +../src/xemacps_example_util.c: In function ‘EmacPsUtilEnterLoopback’: +../src/xemacps_example_util.c:814:4: warning: format ‘%u’ expects argument of type ‘unsigned int’, but argument 2 has type ‘u32’ [-Wformat=] + printf("phy port %u reg %u : %#010x \n\r",j, i, PhyIdentity); + ^ +../src/xemacps_example_util.c:814:4: warning: format ‘%u’ expects argument of type ‘unsigned int’, but argument 3 has type ‘u32’ [-Wformat=] +../src/xemacps_example_util.c:814:4: warning: format ‘%u’ expects argument of type ‘unsigned int’, but argument 2 has type ‘u32’ [-Wformat=] +../src/xemacps_example_util.c:814:4: warning: format ‘%u’ expects argument of type ‘unsigned int’, but argument 3 has type ‘u32’ [-Wformat=] +Finished building: ../src/xemacps_example_util.c + +Building file: ../src/xiicps_selftest_example.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xiicps_selftest_example.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xiicps_selftest_example.d" -MT"src/xiicps_selftest_example.o" -o "src/xiicps_selftest_example.o" "../src/xiicps_selftest_example.c" +Finished building: ../src/xiicps_selftest_example.c + +Building file: ../src/xqspips_selftest_example.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xqspips_selftest_example.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xqspips_selftest_example.d" -MT"src/xqspips_selftest_example.o" -o "src/xqspips_selftest_example.o" "../src/xqspips_selftest_example.c" +Finished building: ../src/xqspips_selftest_example.c + +Building file: ../src/xscugic_tapp_example.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xscugic_tapp_example.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xscugic_tapp_example.d" -MT"src/xscugic_tapp_example.o" -o "src/xscugic_tapp_example.o" "../src/xscugic_tapp_example.c" +Finished building: ../src/xscugic_tapp_example.c + +Building file: ../src/xscutimer_intr_example.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xscutimer_intr_example.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xscutimer_intr_example.d" -MT"src/xscutimer_intr_example.o" -o "src/xscutimer_intr_example.o" "../src/xscutimer_intr_example.c" +Finished building: ../src/xscutimer_intr_example.c + +Building file: ../src/xscutimer_polled_example.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xscutimer_polled_example.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xscutimer_polled_example.d" -MT"src/xscutimer_polled_example.o" -o "src/xscutimer_polled_example.o" "../src/xscutimer_polled_example.c" +Finished building: ../src/xscutimer_polled_example.c + +Building file: ../src/xscuwdt_intr_example.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xscuwdt_intr_example.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xscuwdt_intr_example.d" -MT"src/xscuwdt_intr_example.o" -o "src/xscuwdt_intr_example.o" "../src/xscuwdt_intr_example.c" +Finished building: ../src/xscuwdt_intr_example.c + +Building file: ../src/xttcps_tapp_example.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xttcps_tapp_example.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xttcps_tapp_example.d" -MT"src/xttcps_tapp_example.o" -o "src/xttcps_tapp_example.o" "../src/xttcps_tapp_example.c" +Finished building: ../src/xttcps_tapp_example.c + +Building target: FASEC_Periph-test.elf +Invoking: ARM v7 gcc linker +arm-none-eabi-gcc -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -Wl,-build-id=none -specs=Xilinx.spec -Wl,-T -Wl,../src/lscript.ld -L../../standalone_bsp_1/ps7_cortexa9_0/lib -o "FASEC_Periph-test.elf" ./src/testperiph.o ./src/xdevcfg_selftest_example.o ./src/xdmaps_example_w_intr.o ./src/xemacps_example_intr_dma.o ./src/xemacps_example_util.o ./src/xiicps_selftest_example.o ./src/xqspips_selftest_example.o ./src/xscugic_tapp_example.o ./src/xscutimer_intr_example.o ./src/xscutimer_polled_example.o ./src/xscuwdt_intr_example.o ./src/xttcps_tapp_example.o -Wl,--start-group,-lxil,-lgcc,-lc,--end-group +Finished building target: FASEC_Periph-test.elf + +Invoking: ARM v7 Print Size +arm-none-eabi-size FASEC_Periph-test.elf |tee "FASEC_Periph-test.elf.size" + text data bss dec hex filename + 130092 4376 55376 189844 2e594 FASEC_Periph-test.elf +Finished building: FASEC_Periph-test.elf.size + +11:00:21 **** Clean-only build of project standalone_bsp_1 **** +make -k clean +rm -f ps7_cortexa9_0/lib/libxil.a +11:00:22 **** Clean-only build of configuration Debug for project FASEC_Periph-test **** +make clean +rm -rf ./src/testperiph.o ./src/xdevcfg_selftest_example.o ./src/xdmaps_example_w_intr.o ./src/xemacps_example_intr_dma.o ./src/xemacps_example_util.o ./src/xiicps_selftest_example.o ./src/xqspips_selftest_example.o ./src/xscugic_tapp_example.o ./src/xscutimer_intr_example.o ./src/xscutimer_polled_example.o ./src/xscuwdt_intr_example.o ./src/xttcps_tapp_example.o ./src/testperiph.d ./src/xdevcfg_selftest_example.d ./src/xdmaps_example_w_intr.d ./src/xemacps_example_intr_dma.d ./src/xemacps_example_util.d ./src/xiicps_selftest_example.d ./src/xqspips_selftest_example.d ./src/xscugic_tapp_example.d ./src/xscutimer_intr_example.d ./src/xscutimer_polled_example.d ./src/xscuwdt_intr_example.d ./src/xttcps_tapp_example.d FASEC_Periph-test.elf.size FASEC_Periph-test.elf + +11:00:22 **** Clean-only build of configuration Debug for project FASEC_SFP-Periph-test **** +make clean +rm -rf ./src/testperiph.o ./src/xdevcfg_selftest_example.o ./src/xdmaps_example_w_intr.o ./src/xemacps_example_intr_dma.o ./src/xemacps_example_util.o ./src/xiicps_selftest_example.o ./src/xqspips_selftest_example.o ./src/xscugic_tapp_example.o ./src/xscutimer_intr_example.o ./src/xscutimer_polled_example.o ./src/xscuwdt_intr_example.o ./src/xttcps_tapp_example.o ./src/testperiph.d ./src/xdevcfg_selftest_example.d ./src/xdmaps_example_w_intr.d ./src/xemacps_example_intr_dma.d ./src/xemacps_example_util.d ./src/xiicps_selftest_example.d ./src/xqspips_selftest_example.d ./src/xscugic_tapp_example.d ./src/xscutimer_intr_example.d ./src/xscutimer_polled_example.d ./src/xscuwdt_intr_example.d ./src/xttcps_tapp_example.d FASEC_SFP-Periph-test.elf.size FASEC_SFP-Periph-test.elf + +11:14:20 **** Build of project standalone_bsp_1 **** +make -k all +Running Make include in ps7_cortexa9_0/libsrc/qspips_v3_3/src +make -C ps7_cortexa9_0/libsrc/qspips_v3_3/src -s include "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Running Make include in ps7_cortexa9_0/libsrc/ddrps_v1_0/src +make -C ps7_cortexa9_0/libsrc/ddrps_v1_0/src -s include "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Running Make include in ps7_cortexa9_0/libsrc/axi_wb_i2c_master_v1_0/src +make -C ps7_cortexa9_0/libsrc/axi_wb_i2c_master_v1_0/src -s include "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Running Make include in ps7_cortexa9_0/libsrc/cpu_cortexa9_v2_2/src +make -C ps7_cortexa9_0/libsrc/cpu_cortexa9_v2_2/src -s include "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Running Make include in ps7_cortexa9_0/libsrc/sdps_v2_8/src +make -C ps7_cortexa9_0/libsrc/sdps_v2_8/src -s include "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Running Make include in ps7_cortexa9_0/libsrc/emacps_v3_2/src +make -C ps7_cortexa9_0/libsrc/emacps_v3_2/src -s include "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Running Make include in ps7_cortexa9_0/libsrc/uartps_v3_1/src +make -C ps7_cortexa9_0/libsrc/uartps_v3_1/src -s include "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Running Make include in ps7_cortexa9_0/libsrc/scugic_v3_3/src +make -C ps7_cortexa9_0/libsrc/scugic_v3_3/src -s include "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Running Make include in ps7_cortexa9_0/libsrc/iicps_v3_2/src +make -C ps7_cortexa9_0/libsrc/iicps_v3_2/src -s include "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Running Make include in ps7_cortexa9_0/libsrc/devcfg_v3_4/src +make -C ps7_cortexa9_0/libsrc/devcfg_v3_4/src -s include "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Running Make include in ps7_cortexa9_0/libsrc/dmaps_v2_1/src +make -C ps7_cortexa9_0/libsrc/dmaps_v2_1/src -s include "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Running Make include in ps7_cortexa9_0/libsrc/gpiops_v3_1/src +make -C ps7_cortexa9_0/libsrc/gpiops_v3_1/src -s include "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Running Make include in ps7_cortexa9_0/libsrc/scuwdt_v2_1/src +make -C ps7_cortexa9_0/libsrc/scuwdt_v2_1/src -s include "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Running Make include in ps7_cortexa9_0/libsrc/ttcps_v3_1/src +make -C ps7_cortexa9_0/libsrc/ttcps_v3_1/src -s include "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Running Make include in ps7_cortexa9_0/libsrc/coresightps_dcc_v1_2/src +make -C ps7_cortexa9_0/libsrc/coresightps_dcc_v1_2/src -s include "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Running Make include in ps7_cortexa9_0/libsrc/standalone_v5_5/src +make -C ps7_cortexa9_0/libsrc/standalone_v5_5/src -s include "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Running Make include in ps7_cortexa9_0/libsrc/xadcps_v2_2/src +make -C ps7_cortexa9_0/libsrc/xadcps_v2_2/src -s include "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Running Make include in ps7_cortexa9_0/libsrc/scutimer_v2_1/src +make -C ps7_cortexa9_0/libsrc/scutimer_v2_1/src -s include "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Running Make libs in ps7_cortexa9_0/libsrc/qspips_v3_3/src +make -C ps7_cortexa9_0/libsrc/qspips_v3_3/src -s libs "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Compiling qspips +arm-none-eabi-ar: creating ../../../lib/libxil.a +Running Make libs in ps7_cortexa9_0/libsrc/ddrps_v1_0/src +make -C ps7_cortexa9_0/libsrc/ddrps_v1_0/src -s libs "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Compiling ddrps +Running Make libs in ps7_cortexa9_0/libsrc/axi_wb_i2c_master_v1_0/src +make -C ps7_cortexa9_0/libsrc/axi_wb_i2c_master_v1_0/src -s libs "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Compiling axi_wb_i2c_master... +Running Make libs in ps7_cortexa9_0/libsrc/cpu_cortexa9_v2_2/src +make -C ps7_cortexa9_0/libsrc/cpu_cortexa9_v2_2/src -s libs "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Compiling cpu_cortexa9 +Running Make libs in ps7_cortexa9_0/libsrc/sdps_v2_8/src +make -C ps7_cortexa9_0/libsrc/sdps_v2_8/src -s libs "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Compiling sdps +Running Make libs in ps7_cortexa9_0/libsrc/emacps_v3_2/src +make -C ps7_cortexa9_0/libsrc/emacps_v3_2/src -s libs "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Compiling emacps +Running Make libs in ps7_cortexa9_0/libsrc/uartps_v3_1/src +make -C ps7_cortexa9_0/libsrc/uartps_v3_1/src -s libs "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Compiling uartps +Running Make libs in ps7_cortexa9_0/libsrc/scugic_v3_3/src +make -C ps7_cortexa9_0/libsrc/scugic_v3_3/src -s libs "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Compiling scugic +Running Make libs in ps7_cortexa9_0/libsrc/iicps_v3_2/src +make -C ps7_cortexa9_0/libsrc/iicps_v3_2/src -s libs "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Compiling iicps +Running Make libs in ps7_cortexa9_0/libsrc/devcfg_v3_4/src +make -C ps7_cortexa9_0/libsrc/devcfg_v3_4/src -s libs "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Compiling devcfg +Running Make libs in ps7_cortexa9_0/libsrc/dmaps_v2_1/src +make -C ps7_cortexa9_0/libsrc/dmaps_v2_1/src -s libs "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Compiling dmaps +Running Make libs in ps7_cortexa9_0/libsrc/gpiops_v3_1/src +make -C ps7_cortexa9_0/libsrc/gpiops_v3_1/src -s libs "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Compiling gpiops +Running Make libs in ps7_cortexa9_0/libsrc/scuwdt_v2_1/src +make -C ps7_cortexa9_0/libsrc/scuwdt_v2_1/src -s libs "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Compiling scuwdt +Running Make libs in ps7_cortexa9_0/libsrc/ttcps_v3_1/src +make -C ps7_cortexa9_0/libsrc/ttcps_v3_1/src -s libs "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Compiling ttcps +Running Make libs in ps7_cortexa9_0/libsrc/coresightps_dcc_v1_2/src +make -C ps7_cortexa9_0/libsrc/coresightps_dcc_v1_2/src -s libs "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Compiling coresightps_dcc +Running Make libs in ps7_cortexa9_0/libsrc/standalone_v5_5/src +make -C ps7_cortexa9_0/libsrc/standalone_v5_5/src -s libs "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Compiling standalone +Running Make libs in ps7_cortexa9_0/libsrc/xadcps_v2_2/src +make -C ps7_cortexa9_0/libsrc/xadcps_v2_2/src -s libs "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Compiling xadcps +Running Make libs in ps7_cortexa9_0/libsrc/scutimer_v2_1/src +make -C ps7_cortexa9_0/libsrc/scutimer_v2_1/src -s libs "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Compiling scutimer +Finished building libraries +11:14:30 **** Build of configuration Debug for project FASEC_SFP-Periph-test **** +make pre-build main-build +a9-linaro-pre-build-step + +Building file: ../src/testperiph.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/testperiph.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/testperiph.d" -MT"src/testperiph.o" -o "src/testperiph.o" "../src/testperiph.c" +Finished building: ../src/testperiph.c + +Building file: ../src/xdevcfg_selftest_example.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xdevcfg_selftest_example.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xdevcfg_selftest_example.d" -MT"src/xdevcfg_selftest_example.o" -o "src/xdevcfg_selftest_example.o" "../src/xdevcfg_selftest_example.c" +Finished building: ../src/xdevcfg_selftest_example.c + +Building file: ../src/xdmaps_example_w_intr.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xdmaps_example_w_intr.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xdmaps_example_w_intr.d" -MT"src/xdmaps_example_w_intr.o" -o "src/xdmaps_example_w_intr.o" "../src/xdmaps_example_w_intr.c" +Finished building: ../src/xdmaps_example_w_intr.c + +Building file: ../src/xemacps_example_intr_dma.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xemacps_example_intr_dma.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xemacps_example_intr_dma.d" -MT"src/xemacps_example_intr_dma.o" -o "src/xemacps_example_intr_dma.o" "../src/xemacps_example_intr_dma.c" +../src/xemacps_example_intr_dma.c: In function ‘XEmacPsClkSetup’: +../src/xemacps_example_intr_dma.c:1289:6: warning: unused variable ‘CrlApbClkCntrl’ [-Wunused-variable] + u32 CrlApbClkCntrl; + ^ +Finished building: ../src/xemacps_example_intr_dma.c + +Building file: ../src/xemacps_example_util.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xemacps_example_util.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xemacps_example_util.d" -MT"src/xemacps_example_util.o" -o "src/xemacps_example_util.o" "../src/xemacps_example_util.c" +Finished building: ../src/xemacps_example_util.c + +Building file: ../src/xiicps_selftest_example.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xiicps_selftest_example.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xiicps_selftest_example.d" -MT"src/xiicps_selftest_example.o" -o "src/xiicps_selftest_example.o" "../src/xiicps_selftest_example.c" +Finished building: ../src/xiicps_selftest_example.c + +Building file: ../src/xqspips_selftest_example.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xqspips_selftest_example.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xqspips_selftest_example.d" -MT"src/xqspips_selftest_example.o" -o "src/xqspips_selftest_example.o" "../src/xqspips_selftest_example.c" +Finished building: ../src/xqspips_selftest_example.c + +Building file: ../src/xscugic_tapp_example.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xscugic_tapp_example.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xscugic_tapp_example.d" -MT"src/xscugic_tapp_example.o" -o "src/xscugic_tapp_example.o" "../src/xscugic_tapp_example.c" +Finished building: ../src/xscugic_tapp_example.c + +Building file: ../src/xscutimer_intr_example.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xscutimer_intr_example.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xscutimer_intr_example.d" -MT"src/xscutimer_intr_example.o" -o "src/xscutimer_intr_example.o" "../src/xscutimer_intr_example.c" +Finished building: ../src/xscutimer_intr_example.c + +Building file: ../src/xscutimer_polled_example.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xscutimer_polled_example.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xscutimer_polled_example.d" -MT"src/xscutimer_polled_example.o" -o "src/xscutimer_polled_example.o" "../src/xscutimer_polled_example.c" +Finished building: ../src/xscutimer_polled_example.c + +Building file: ../src/xscuwdt_intr_example.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xscuwdt_intr_example.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xscuwdt_intr_example.d" -MT"src/xscuwdt_intr_example.o" -o "src/xscuwdt_intr_example.o" "../src/xscuwdt_intr_example.c" +Finished building: ../src/xscuwdt_intr_example.c + +Building file: ../src/xttcps_tapp_example.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xttcps_tapp_example.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xttcps_tapp_example.d" -MT"src/xttcps_tapp_example.o" -o "src/xttcps_tapp_example.o" "../src/xttcps_tapp_example.c" +Finished building: ../src/xttcps_tapp_example.c + +Building target: FASEC_SFP-Periph-test.elf +Invoking: ARM v7 gcc linker +arm-none-eabi-gcc -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -Wl,-build-id=none -specs=Xilinx.spec -Wl,-T -Wl,../src/lscript.ld -L../../standalone_bsp_1/ps7_cortexa9_0/lib -o "FASEC_SFP-Periph-test.elf" ./src/testperiph.o ./src/xdevcfg_selftest_example.o ./src/xdmaps_example_w_intr.o ./src/xemacps_example_intr_dma.o ./src/xemacps_example_util.o ./src/xiicps_selftest_example.o ./src/xqspips_selftest_example.o ./src/xscugic_tapp_example.o ./src/xscutimer_intr_example.o ./src/xscutimer_polled_example.o ./src/xscuwdt_intr_example.o ./src/xttcps_tapp_example.o -Wl,--start-group,-lxil,-lgcc,-lc,--end-group +Finished building target: FASEC_SFP-Periph-test.elf + +Invoking: ARM v7 Print Size +arm-none-eabi-size FASEC_SFP-Periph-test.elf |tee "FASEC_SFP-Periph-test.elf.size" + text data bss dec hex filename + 79140 2944 54608 136692 215f4 FASEC_SFP-Periph-test.elf +Finished building: FASEC_SFP-Periph-test.elf.size + +11:14:33 **** Build of configuration Debug for project FASEC_Periph-test **** +make pre-build main-build +a9-linaro-pre-build-step + +Building file: ../src/testperiph.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/testperiph.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/testperiph.d" -MT"src/testperiph.o" -o "src/testperiph.o" "../src/testperiph.c" +Finished building: ../src/testperiph.c + +Building file: ../src/xdevcfg_selftest_example.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xdevcfg_selftest_example.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xdevcfg_selftest_example.d" -MT"src/xdevcfg_selftest_example.o" -o "src/xdevcfg_selftest_example.o" "../src/xdevcfg_selftest_example.c" +Finished building: ../src/xdevcfg_selftest_example.c + +Building file: ../src/xdmaps_example_w_intr.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xdmaps_example_w_intr.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xdmaps_example_w_intr.d" -MT"src/xdmaps_example_w_intr.o" -o "src/xdmaps_example_w_intr.o" "../src/xdmaps_example_w_intr.c" +Finished building: ../src/xdmaps_example_w_intr.c + +Building file: ../src/xemacps_example_intr_dma.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xemacps_example_intr_dma.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xemacps_example_intr_dma.d" -MT"src/xemacps_example_intr_dma.o" -o "src/xemacps_example_intr_dma.o" "../src/xemacps_example_intr_dma.c" +../src/xemacps_example_intr_dma.c: In function ‘XEmacPsClkSetup’: +../src/xemacps_example_intr_dma.c:1294:6: warning: unused variable ‘CrlApbClkCntrl’ [-Wunused-variable] + u32 CrlApbClkCntrl; + ^ +Finished building: ../src/xemacps_example_intr_dma.c + +Building file: ../src/xemacps_example_util.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xemacps_example_util.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xemacps_example_util.d" -MT"src/xemacps_example_util.o" -o "src/xemacps_example_util.o" "../src/xemacps_example_util.c" +../src/xemacps_example_util.c: In function ‘EmacPsUtilMicrelPhyLoopback’: +../src/xemacps_example_util.c:708:2: warning: format ‘%u’ expects argument of type ‘unsigned int’, but argument 2 has type ‘u32’ [-Wformat=] + printf("phy port %u reg %u before speed config: %#010x \n\r", PhyAddr, RegAddr, test); + ^ +../src/xemacps_example_util.c:708:2: warning: format ‘%u’ expects argument of type ‘unsigned int’, but argument 3 has type ‘u32’ [-Wformat=] +../src/xemacps_example_util.c:708:2: warning: format ‘%u’ expects argument of type ‘unsigned int’, but argument 2 has type ‘u32’ [-Wformat=] +../src/xemacps_example_util.c:708:2: warning: format ‘%u’ expects argument of type ‘unsigned int’, but argument 3 has type ‘u32’ [-Wformat=] +../src/xemacps_example_util.c:726:2: warning: format ‘%u’ expects argument of type ‘unsigned int’, but argument 2 has type ‘u32’ [-Wformat=] + printf("phy port %u reg %u after reset: %#010x \n\r", PhyAddr, RegAddr, PhyReg0); + ^ +../src/xemacps_example_util.c:726:2: warning: format ‘%u’ expects argument of type ‘unsigned int’, but argument 3 has type ‘u32’ [-Wformat=] +../src/xemacps_example_util.c:726:2: warning: format ‘%u’ expects argument of type ‘unsigned int’, but argument 2 has type ‘u32’ [-Wformat=] +../src/xemacps_example_util.c:726:2: warning: format ‘%u’ expects argument of type ‘unsigned int’, but argument 3 has type ‘u32’ [-Wformat=] +../src/xemacps_example_util.c:740:3: warning: format ‘%u’ expects argument of type ‘unsigned int’, but argument 2 has type ‘u32’ [-Wformat=] + printf("PHY %u reset\n\r", i); + ^ +../src/xemacps_example_util.c:740:3: warning: format ‘%u’ expects argument of type ‘unsigned int’, but argument 2 has type ‘u32’ [-Wformat=] +../src/xemacps_example_util.c:761:2: warning: format ‘%u’ expects argument of type ‘unsigned int’, but argument 2 has type ‘u32’ [-Wformat=] + printf("written phy port %u reg %u : %#010x \n\r", PhyAddr, RegAddr, PhyReg0); + ^ +../src/xemacps_example_util.c:761:2: warning: format ‘%u’ expects argument of type ‘unsigned int’, but argument 3 has type ‘u32’ [-Wformat=] +../src/xemacps_example_util.c:761:2: warning: format ‘%u’ expects argument of type ‘unsigned int’, but argument 2 has type ‘u32’ [-Wformat=] +../src/xemacps_example_util.c:761:2: warning: format ‘%u’ expects argument of type ‘unsigned int’, but argument 3 has type ‘u32’ [-Wformat=] +../src/xemacps_example_util.c:767:2: warning: format ‘%u’ expects argument of type ‘unsigned int’, but argument 2 has type ‘u32’ [-Wformat=] + printf("phy port %u reg %u : %#010x \n\r", PhyAddr, RegAddr, PhyReg0); + ^ +../src/xemacps_example_util.c:767:2: warning: format ‘%u’ expects argument of type ‘unsigned int’, but argument 3 has type ‘u32’ [-Wformat=] +../src/xemacps_example_util.c:767:2: warning: format ‘%u’ expects argument of type ‘unsigned int’, but argument 2 has type ‘u32’ [-Wformat=] +../src/xemacps_example_util.c:767:2: warning: format ‘%u’ expects argument of type ‘unsigned int’, but argument 3 has type ‘u32’ [-Wformat=] +../src/xemacps_example_util.c:676:6: warning: unused variable ‘PhyReg1’ [-Wunused-variable] + u16 PhyReg1 = 0; + ^ +../src/xemacps_example_util.c: In function ‘EmacPsUtilEnterLoopback’: +../src/xemacps_example_util.c:814:4: warning: format ‘%u’ expects argument of type ‘unsigned int’, but argument 2 has type ‘u32’ [-Wformat=] + printf("phy port %u reg %u : %#010x \n\r",j, i, PhyIdentity); + ^ +../src/xemacps_example_util.c:814:4: warning: format ‘%u’ expects argument of type ‘unsigned int’, but argument 3 has type ‘u32’ [-Wformat=] +../src/xemacps_example_util.c:814:4: warning: format ‘%u’ expects argument of type ‘unsigned int’, but argument 2 has type ‘u32’ [-Wformat=] +../src/xemacps_example_util.c:814:4: warning: format ‘%u’ expects argument of type ‘unsigned int’, but argument 3 has type ‘u32’ [-Wformat=] +Finished building: ../src/xemacps_example_util.c + +Building file: ../src/xiicps_selftest_example.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xiicps_selftest_example.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xiicps_selftest_example.d" -MT"src/xiicps_selftest_example.o" -o "src/xiicps_selftest_example.o" "../src/xiicps_selftest_example.c" +Finished building: ../src/xiicps_selftest_example.c + +Building file: ../src/xqspips_selftest_example.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xqspips_selftest_example.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xqspips_selftest_example.d" -MT"src/xqspips_selftest_example.o" -o "src/xqspips_selftest_example.o" "../src/xqspips_selftest_example.c" +Finished building: ../src/xqspips_selftest_example.c + +Building file: ../src/xscugic_tapp_example.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xscugic_tapp_example.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xscugic_tapp_example.d" -MT"src/xscugic_tapp_example.o" -o "src/xscugic_tapp_example.o" "../src/xscugic_tapp_example.c" +Finished building: ../src/xscugic_tapp_example.c + +Building file: ../src/xscutimer_intr_example.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xscutimer_intr_example.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xscutimer_intr_example.d" -MT"src/xscutimer_intr_example.o" -o "src/xscutimer_intr_example.o" "../src/xscutimer_intr_example.c" +Finished building: ../src/xscutimer_intr_example.c + +Building file: ../src/xscutimer_polled_example.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xscutimer_polled_example.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xscutimer_polled_example.d" -MT"src/xscutimer_polled_example.o" -o "src/xscutimer_polled_example.o" "../src/xscutimer_polled_example.c" +Finished building: ../src/xscutimer_polled_example.c + +Building file: ../src/xscuwdt_intr_example.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xscuwdt_intr_example.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xscuwdt_intr_example.d" -MT"src/xscuwdt_intr_example.o" -o "src/xscuwdt_intr_example.o" "../src/xscuwdt_intr_example.c" +Finished building: ../src/xscuwdt_intr_example.c + +Building file: ../src/xttcps_tapp_example.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xttcps_tapp_example.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xttcps_tapp_example.d" -MT"src/xttcps_tapp_example.o" -o "src/xttcps_tapp_example.o" "../src/xttcps_tapp_example.c" +Finished building: ../src/xttcps_tapp_example.c + +Building target: FASEC_Periph-test.elf +Invoking: ARM v7 gcc linker +arm-none-eabi-gcc -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -Wl,-build-id=none -specs=Xilinx.spec -Wl,-T -Wl,../src/lscript.ld -L../../standalone_bsp_1/ps7_cortexa9_0/lib -o "FASEC_Periph-test.elf" ./src/testperiph.o ./src/xdevcfg_selftest_example.o ./src/xdmaps_example_w_intr.o ./src/xemacps_example_intr_dma.o ./src/xemacps_example_util.o ./src/xiicps_selftest_example.o ./src/xqspips_selftest_example.o ./src/xscugic_tapp_example.o ./src/xscutimer_intr_example.o ./src/xscutimer_polled_example.o ./src/xscuwdt_intr_example.o ./src/xttcps_tapp_example.o -Wl,--start-group,-lxil,-lgcc,-lc,--end-group +Finished building target: FASEC_Periph-test.elf + +Invoking: ARM v7 Print Size +arm-none-eabi-size FASEC_Periph-test.elf |tee "FASEC_Periph-test.elf.size" + text data bss dec hex filename + 130092 4376 55376 189844 2e594 FASEC_Periph-test.elf +Finished building: FASEC_Periph-test.elf.size + +12:18:10 **** Clean-only build of project standalone_bsp_1 **** +make -k clean +rm -f ps7_cortexa9_0/lib/libxil.a +12:18:10 **** Clean-only build of configuration Debug for project FASEC_Periph-test **** +make clean +rm -rf ./src/testperiph.o ./src/xdevcfg_selftest_example.o ./src/xdmaps_example_w_intr.o ./src/xemacps_example_intr_dma.o ./src/xemacps_example_util.o ./src/xiicps_selftest_example.o ./src/xqspips_selftest_example.o ./src/xscugic_tapp_example.o ./src/xscutimer_intr_example.o ./src/xscutimer_polled_example.o ./src/xscuwdt_intr_example.o ./src/xttcps_tapp_example.o ./src/testperiph.d ./src/xdevcfg_selftest_example.d ./src/xdmaps_example_w_intr.d ./src/xemacps_example_intr_dma.d ./src/xemacps_example_util.d ./src/xiicps_selftest_example.d ./src/xqspips_selftest_example.d ./src/xscugic_tapp_example.d ./src/xscutimer_intr_example.d ./src/xscutimer_polled_example.d ./src/xscuwdt_intr_example.d ./src/xttcps_tapp_example.d FASEC_Periph-test.elf.size FASEC_Periph-test.elf + +12:18:10 **** Clean-only build of configuration Debug for project FASEC_SFP-Periph-test **** +make clean +rm -rf ./src/testperiph.o ./src/xdevcfg_selftest_example.o ./src/xdmaps_example_w_intr.o ./src/xemacps_example_intr_dma.o ./src/xemacps_example_util.o ./src/xiicps_selftest_example.o ./src/xqspips_selftest_example.o ./src/xscugic_tapp_example.o ./src/xscutimer_intr_example.o ./src/xscutimer_polled_example.o ./src/xscuwdt_intr_example.o ./src/xttcps_tapp_example.o ./src/testperiph.d ./src/xdevcfg_selftest_example.d ./src/xdmaps_example_w_intr.d ./src/xemacps_example_intr_dma.d ./src/xemacps_example_util.d ./src/xiicps_selftest_example.d ./src/xqspips_selftest_example.d ./src/xscugic_tapp_example.d ./src/xscutimer_intr_example.d ./src/xscutimer_polled_example.d ./src/xscuwdt_intr_example.d ./src/xttcps_tapp_example.d FASEC_SFP-Periph-test.elf.size FASEC_SFP-Periph-test.elf + +12:19:31 **** Build of project standalone_bsp_1 **** +make -k all +Running Make include in ps7_cortexa9_0/libsrc/qspips_v3_3/src +make -C ps7_cortexa9_0/libsrc/qspips_v3_3/src -s include "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Running Make include in ps7_cortexa9_0/libsrc/ddrps_v1_0/src +make -C ps7_cortexa9_0/libsrc/ddrps_v1_0/src -s include "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Running Make include in ps7_cortexa9_0/libsrc/axi_wb_i2c_master_v1_0/src +make -C ps7_cortexa9_0/libsrc/axi_wb_i2c_master_v1_0/src -s include "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Running Make include in ps7_cortexa9_0/libsrc/cpu_cortexa9_v2_2/src +make -C ps7_cortexa9_0/libsrc/cpu_cortexa9_v2_2/src -s include "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Running Make include in ps7_cortexa9_0/libsrc/sdps_v2_8/src +make -C ps7_cortexa9_0/libsrc/sdps_v2_8/src -s include "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Running Make include in ps7_cortexa9_0/libsrc/emacps_v3_2/src +make -C ps7_cortexa9_0/libsrc/emacps_v3_2/src -s include "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Running Make include in ps7_cortexa9_0/libsrc/uartps_v3_1/src +make -C ps7_cortexa9_0/libsrc/uartps_v3_1/src -s include "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Running Make include in ps7_cortexa9_0/libsrc/scugic_v3_3/src +make -C ps7_cortexa9_0/libsrc/scugic_v3_3/src -s include "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Running Make include in ps7_cortexa9_0/libsrc/iicps_v3_2/src +make -C ps7_cortexa9_0/libsrc/iicps_v3_2/src -s include "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Running Make include in ps7_cortexa9_0/libsrc/devcfg_v3_4/src +make -C ps7_cortexa9_0/libsrc/devcfg_v3_4/src -s include "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Running Make include in ps7_cortexa9_0/libsrc/dmaps_v2_1/src +make -C ps7_cortexa9_0/libsrc/dmaps_v2_1/src -s include "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Running Make include in ps7_cortexa9_0/libsrc/gpiops_v3_1/src +make -C ps7_cortexa9_0/libsrc/gpiops_v3_1/src -s include "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Running Make include in ps7_cortexa9_0/libsrc/scuwdt_v2_1/src +make -C ps7_cortexa9_0/libsrc/scuwdt_v2_1/src -s include "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Running Make include in ps7_cortexa9_0/libsrc/ttcps_v3_1/src +make -C ps7_cortexa9_0/libsrc/ttcps_v3_1/src -s include "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Running Make include in ps7_cortexa9_0/libsrc/coresightps_dcc_v1_2/src +make -C ps7_cortexa9_0/libsrc/coresightps_dcc_v1_2/src -s include "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Running Make include in ps7_cortexa9_0/libsrc/standalone_v5_5/src +make -C ps7_cortexa9_0/libsrc/standalone_v5_5/src -s include "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Running Make include in ps7_cortexa9_0/libsrc/xadcps_v2_2/src +make -C ps7_cortexa9_0/libsrc/xadcps_v2_2/src -s include "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Running Make include in ps7_cortexa9_0/libsrc/scutimer_v2_1/src +make -C ps7_cortexa9_0/libsrc/scutimer_v2_1/src -s include "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Running Make libs in ps7_cortexa9_0/libsrc/qspips_v3_3/src +make -C ps7_cortexa9_0/libsrc/qspips_v3_3/src -s libs "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Compiling qspips +arm-none-eabi-ar: creating ../../../lib/libxil.a +Running Make libs in ps7_cortexa9_0/libsrc/ddrps_v1_0/src +make -C ps7_cortexa9_0/libsrc/ddrps_v1_0/src -s libs "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Compiling ddrps +Running Make libs in ps7_cortexa9_0/libsrc/axi_wb_i2c_master_v1_0/src +make -C ps7_cortexa9_0/libsrc/axi_wb_i2c_master_v1_0/src -s libs "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Compiling axi_wb_i2c_master... +Running Make libs in ps7_cortexa9_0/libsrc/cpu_cortexa9_v2_2/src +make -C ps7_cortexa9_0/libsrc/cpu_cortexa9_v2_2/src -s libs "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Compiling cpu_cortexa9 +Running Make libs in ps7_cortexa9_0/libsrc/sdps_v2_8/src +make -C ps7_cortexa9_0/libsrc/sdps_v2_8/src -s libs "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Compiling sdps +Running Make libs in ps7_cortexa9_0/libsrc/emacps_v3_2/src +make -C ps7_cortexa9_0/libsrc/emacps_v3_2/src -s libs "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Compiling emacps +Running Make libs in ps7_cortexa9_0/libsrc/uartps_v3_1/src +make -C ps7_cortexa9_0/libsrc/uartps_v3_1/src -s libs "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Compiling uartps +Running Make libs in ps7_cortexa9_0/libsrc/scugic_v3_3/src +make -C ps7_cortexa9_0/libsrc/scugic_v3_3/src -s libs "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Compiling scugic +Running Make libs in ps7_cortexa9_0/libsrc/iicps_v3_2/src +make -C ps7_cortexa9_0/libsrc/iicps_v3_2/src -s libs "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Compiling iicps +Running Make libs in ps7_cortexa9_0/libsrc/devcfg_v3_4/src +make -C ps7_cortexa9_0/libsrc/devcfg_v3_4/src -s libs "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Compiling devcfg +Running Make libs in ps7_cortexa9_0/libsrc/dmaps_v2_1/src +make -C ps7_cortexa9_0/libsrc/dmaps_v2_1/src -s libs "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Compiling dmaps +Running Make libs in ps7_cortexa9_0/libsrc/gpiops_v3_1/src +make -C ps7_cortexa9_0/libsrc/gpiops_v3_1/src -s libs "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Compiling gpiops +Running Make libs in ps7_cortexa9_0/libsrc/scuwdt_v2_1/src +make -C ps7_cortexa9_0/libsrc/scuwdt_v2_1/src -s libs "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Compiling scuwdt +Running Make libs in ps7_cortexa9_0/libsrc/ttcps_v3_1/src +make -C ps7_cortexa9_0/libsrc/ttcps_v3_1/src -s libs "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Compiling ttcps +Running Make libs in ps7_cortexa9_0/libsrc/coresightps_dcc_v1_2/src +make -C ps7_cortexa9_0/libsrc/coresightps_dcc_v1_2/src -s libs "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Compiling coresightps_dcc +Running Make libs in ps7_cortexa9_0/libsrc/standalone_v5_5/src +make -C ps7_cortexa9_0/libsrc/standalone_v5_5/src -s libs "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Compiling standalone +Running Make libs in ps7_cortexa9_0/libsrc/xadcps_v2_2/src +make -C ps7_cortexa9_0/libsrc/xadcps_v2_2/src -s libs "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Compiling xadcps +Running Make libs in ps7_cortexa9_0/libsrc/scutimer_v2_1/src +make -C ps7_cortexa9_0/libsrc/scutimer_v2_1/src -s libs "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" +Compiling scutimer +Finished building libraries +12:19:40 **** Build of configuration Debug for project FASEC_SFP-Periph-test **** +make pre-build main-build +a9-linaro-pre-build-step + +Building file: ../src/testperiph.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/testperiph.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/testperiph.d" -MT"src/testperiph.o" -o "src/testperiph.o" "../src/testperiph.c" +Finished building: ../src/testperiph.c + +Building file: ../src/xdevcfg_selftest_example.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xdevcfg_selftest_example.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xdevcfg_selftest_example.d" -MT"src/xdevcfg_selftest_example.o" -o "src/xdevcfg_selftest_example.o" "../src/xdevcfg_selftest_example.c" +Finished building: ../src/xdevcfg_selftest_example.c + +Building file: ../src/xdmaps_example_w_intr.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xdmaps_example_w_intr.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xdmaps_example_w_intr.d" -MT"src/xdmaps_example_w_intr.o" -o "src/xdmaps_example_w_intr.o" "../src/xdmaps_example_w_intr.c" +Finished building: ../src/xdmaps_example_w_intr.c + +Building file: ../src/xemacps_example_intr_dma.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xemacps_example_intr_dma.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xemacps_example_intr_dma.d" -MT"src/xemacps_example_intr_dma.o" -o "src/xemacps_example_intr_dma.o" "../src/xemacps_example_intr_dma.c" +../src/xemacps_example_intr_dma.c: In function ‘XEmacPsClkSetup’: +../src/xemacps_example_intr_dma.c:1289:6: warning: unused variable ‘CrlApbClkCntrl’ [-Wunused-variable] + u32 CrlApbClkCntrl; + ^ +Finished building: ../src/xemacps_example_intr_dma.c + +Building file: ../src/xemacps_example_util.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xemacps_example_util.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xemacps_example_util.d" -MT"src/xemacps_example_util.o" -o "src/xemacps_example_util.o" "../src/xemacps_example_util.c" +Finished building: ../src/xemacps_example_util.c + +Building file: ../src/xiicps_selftest_example.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xiicps_selftest_example.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xiicps_selftest_example.d" -MT"src/xiicps_selftest_example.o" -o "src/xiicps_selftest_example.o" "../src/xiicps_selftest_example.c" +Finished building: ../src/xiicps_selftest_example.c + +Building file: ../src/xqspips_selftest_example.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xqspips_selftest_example.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xqspips_selftest_example.d" -MT"src/xqspips_selftest_example.o" -o "src/xqspips_selftest_example.o" "../src/xqspips_selftest_example.c" +Finished building: ../src/xqspips_selftest_example.c + +Building file: ../src/xscugic_tapp_example.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xscugic_tapp_example.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xscugic_tapp_example.d" -MT"src/xscugic_tapp_example.o" -o "src/xscugic_tapp_example.o" "../src/xscugic_tapp_example.c" +Finished building: ../src/xscugic_tapp_example.c + +Building file: ../src/xscutimer_intr_example.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xscutimer_intr_example.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xscutimer_intr_example.d" -MT"src/xscutimer_intr_example.o" -o "src/xscutimer_intr_example.o" "../src/xscutimer_intr_example.c" +Finished building: ../src/xscutimer_intr_example.c + +Building file: ../src/xscutimer_polled_example.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xscutimer_polled_example.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xscutimer_polled_example.d" -MT"src/xscutimer_polled_example.o" -o "src/xscutimer_polled_example.o" "../src/xscutimer_polled_example.c" +Finished building: ../src/xscutimer_polled_example.c + +Building file: ../src/xscuwdt_intr_example.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xscuwdt_intr_example.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xscuwdt_intr_example.d" -MT"src/xscuwdt_intr_example.o" -o "src/xscuwdt_intr_example.o" "../src/xscuwdt_intr_example.c" +Finished building: ../src/xscuwdt_intr_example.c + +Building file: ../src/xttcps_tapp_example.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xttcps_tapp_example.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xttcps_tapp_example.d" -MT"src/xttcps_tapp_example.o" -o "src/xttcps_tapp_example.o" "../src/xttcps_tapp_example.c" +Finished building: ../src/xttcps_tapp_example.c + +Building target: FASEC_SFP-Periph-test.elf +Invoking: ARM v7 gcc linker +arm-none-eabi-gcc -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -Wl,-build-id=none -specs=Xilinx.spec -Wl,-T -Wl,../src/lscript.ld -L../../standalone_bsp_1/ps7_cortexa9_0/lib -o "FASEC_SFP-Periph-test.elf" ./src/testperiph.o ./src/xdevcfg_selftest_example.o ./src/xdmaps_example_w_intr.o ./src/xemacps_example_intr_dma.o ./src/xemacps_example_util.o ./src/xiicps_selftest_example.o ./src/xqspips_selftest_example.o ./src/xscugic_tapp_example.o ./src/xscutimer_intr_example.o ./src/xscutimer_polled_example.o ./src/xscuwdt_intr_example.o ./src/xttcps_tapp_example.o -Wl,--start-group,-lxil,-lgcc,-lc,--end-group +Finished building target: FASEC_SFP-Periph-test.elf + +Invoking: ARM v7 Print Size +arm-none-eabi-size FASEC_SFP-Periph-test.elf |tee "FASEC_SFP-Periph-test.elf.size" + text data bss dec hex filename + 79140 2944 54608 136692 215f4 FASEC_SFP-Periph-test.elf +Finished building: FASEC_SFP-Periph-test.elf.size + +12:19:42 **** Build of configuration Debug for project FASEC_Periph-test **** +make pre-build main-build +a9-linaro-pre-build-step + +Building file: ../src/testperiph.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/testperiph.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/testperiph.d" -MT"src/testperiph.o" -o "src/testperiph.o" "../src/testperiph.c" +Finished building: ../src/testperiph.c + +Building file: ../src/xdevcfg_selftest_example.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xdevcfg_selftest_example.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xdevcfg_selftest_example.d" -MT"src/xdevcfg_selftest_example.o" -o "src/xdevcfg_selftest_example.o" "../src/xdevcfg_selftest_example.c" +Finished building: ../src/xdevcfg_selftest_example.c + +Building file: ../src/xdmaps_example_w_intr.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xdmaps_example_w_intr.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xdmaps_example_w_intr.d" -MT"src/xdmaps_example_w_intr.o" -o "src/xdmaps_example_w_intr.o" "../src/xdmaps_example_w_intr.c" +Finished building: ../src/xdmaps_example_w_intr.c + +Building file: ../src/xemacps_example_intr_dma.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xemacps_example_intr_dma.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xemacps_example_intr_dma.d" -MT"src/xemacps_example_intr_dma.o" -o "src/xemacps_example_intr_dma.o" "../src/xemacps_example_intr_dma.c" +../src/xemacps_example_intr_dma.c: In function ‘XEmacPsClkSetup’: +../src/xemacps_example_intr_dma.c:1294:6: warning: unused variable ‘CrlApbClkCntrl’ [-Wunused-variable] + u32 CrlApbClkCntrl; + ^ +Finished building: ../src/xemacps_example_intr_dma.c + +Building file: ../src/xemacps_example_util.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xemacps_example_util.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xemacps_example_util.d" -MT"src/xemacps_example_util.o" -o "src/xemacps_example_util.o" "../src/xemacps_example_util.c" +../src/xemacps_example_util.c: In function ‘EmacPsUtilMicrelPhyLoopback’: +../src/xemacps_example_util.c:708:2: warning: format ‘%u’ expects argument of type ‘unsigned int’, but argument 2 has type ‘u32’ [-Wformat=] + printf("phy port %u reg %u before speed config: %#010x \n\r", PhyAddr, RegAddr, test); + ^ +../src/xemacps_example_util.c:708:2: warning: format ‘%u’ expects argument of type ‘unsigned int’, but argument 3 has type ‘u32’ [-Wformat=] +../src/xemacps_example_util.c:708:2: warning: format ‘%u’ expects argument of type ‘unsigned int’, but argument 2 has type ‘u32’ [-Wformat=] +../src/xemacps_example_util.c:708:2: warning: format ‘%u’ expects argument of type ‘unsigned int’, but argument 3 has type ‘u32’ [-Wformat=] +../src/xemacps_example_util.c:726:2: warning: format ‘%u’ expects argument of type ‘unsigned int’, but argument 2 has type ‘u32’ [-Wformat=] + printf("phy port %u reg %u after reset: %#010x \n\r", PhyAddr, RegAddr, PhyReg0); + ^ +../src/xemacps_example_util.c:726:2: warning: format ‘%u’ expects argument of type ‘unsigned int’, but argument 3 has type ‘u32’ [-Wformat=] +../src/xemacps_example_util.c:726:2: warning: format ‘%u’ expects argument of type ‘unsigned int’, but argument 2 has type ‘u32’ [-Wformat=] +../src/xemacps_example_util.c:726:2: warning: format ‘%u’ expects argument of type ‘unsigned int’, but argument 3 has type ‘u32’ [-Wformat=] +../src/xemacps_example_util.c:740:3: warning: format ‘%u’ expects argument of type ‘unsigned int’, but argument 2 has type ‘u32’ [-Wformat=] + printf("PHY %u reset\n\r", i); + ^ +../src/xemacps_example_util.c:740:3: warning: format ‘%u’ expects argument of type ‘unsigned int’, but argument 2 has type ‘u32’ [-Wformat=] +../src/xemacps_example_util.c:761:2: warning: format ‘%u’ expects argument of type ‘unsigned int’, but argument 2 has type ‘u32’ [-Wformat=] + printf("written phy port %u reg %u : %#010x \n\r", PhyAddr, RegAddr, PhyReg0); + ^ +../src/xemacps_example_util.c:761:2: warning: format ‘%u’ expects argument of type ‘unsigned int’, but argument 3 has type ‘u32’ [-Wformat=] +../src/xemacps_example_util.c:761:2: warning: format ‘%u’ expects argument of type ‘unsigned int’, but argument 2 has type ‘u32’ [-Wformat=] +../src/xemacps_example_util.c:761:2: warning: format ‘%u’ expects argument of type ‘unsigned int’, but argument 3 has type ‘u32’ [-Wformat=] +../src/xemacps_example_util.c:767:2: warning: format ‘%u’ expects argument of type ‘unsigned int’, but argument 2 has type ‘u32’ [-Wformat=] + printf("phy port %u reg %u : %#010x \n\r", PhyAddr, RegAddr, PhyReg0); + ^ +../src/xemacps_example_util.c:767:2: warning: format ‘%u’ expects argument of type ‘unsigned int’, but argument 3 has type ‘u32’ [-Wformat=] +../src/xemacps_example_util.c:767:2: warning: format ‘%u’ expects argument of type ‘unsigned int’, but argument 2 has type ‘u32’ [-Wformat=] +../src/xemacps_example_util.c:767:2: warning: format ‘%u’ expects argument of type ‘unsigned int’, but argument 3 has type ‘u32’ [-Wformat=] +../src/xemacps_example_util.c:676:6: warning: unused variable ‘PhyReg1’ [-Wunused-variable] + u16 PhyReg1 = 0; + ^ +../src/xemacps_example_util.c: In function ‘EmacPsUtilEnterLoopback’: +../src/xemacps_example_util.c:814:4: warning: format ‘%u’ expects argument of type ‘unsigned int’, but argument 2 has type ‘u32’ [-Wformat=] + printf("phy port %u reg %u : %#010x \n\r",j, i, PhyIdentity); + ^ +../src/xemacps_example_util.c:814:4: warning: format ‘%u’ expects argument of type ‘unsigned int’, but argument 3 has type ‘u32’ [-Wformat=] +../src/xemacps_example_util.c:814:4: warning: format ‘%u’ expects argument of type ‘unsigned int’, but argument 2 has type ‘u32’ [-Wformat=] +../src/xemacps_example_util.c:814:4: warning: format ‘%u’ expects argument of type ‘unsigned int’, but argument 3 has type ‘u32’ [-Wformat=] +Finished building: ../src/xemacps_example_util.c + +Building file: ../src/xiicps_selftest_example.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xiicps_selftest_example.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xiicps_selftest_example.d" -MT"src/xiicps_selftest_example.o" -o "src/xiicps_selftest_example.o" "../src/xiicps_selftest_example.c" +Finished building: ../src/xiicps_selftest_example.c + +Building file: ../src/xqspips_selftest_example.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xqspips_selftest_example.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xqspips_selftest_example.d" -MT"src/xqspips_selftest_example.o" -o "src/xqspips_selftest_example.o" "../src/xqspips_selftest_example.c" +Finished building: ../src/xqspips_selftest_example.c + +Building file: ../src/xscugic_tapp_example.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xscugic_tapp_example.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xscugic_tapp_example.d" -MT"src/xscugic_tapp_example.o" -o "src/xscugic_tapp_example.o" "../src/xscugic_tapp_example.c" +Finished building: ../src/xscugic_tapp_example.c + +Building file: ../src/xscutimer_intr_example.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xscutimer_intr_example.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xscutimer_intr_example.d" -MT"src/xscutimer_intr_example.o" -o "src/xscutimer_intr_example.o" "../src/xscutimer_intr_example.c" +Finished building: ../src/xscutimer_intr_example.c + +Building file: ../src/xscutimer_polled_example.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xscutimer_polled_example.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xscutimer_polled_example.d" -MT"src/xscutimer_polled_example.o" -o "src/xscutimer_polled_example.o" "../src/xscutimer_polled_example.c" +Finished building: ../src/xscutimer_polled_example.c + +Building file: ../src/xscuwdt_intr_example.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xscuwdt_intr_example.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xscuwdt_intr_example.d" -MT"src/xscuwdt_intr_example.o" -o "src/xscuwdt_intr_example.o" "../src/xscuwdt_intr_example.c" +Finished building: ../src/xscuwdt_intr_example.c + +Building file: ../src/xttcps_tapp_example.c +Invoking: ARM v7 gcc compiler +arm-none-eabi-gcc -Wall -O0 -g3 -c -fmessage-length=0 -MT"src/xttcps_tapp_example.o" -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -I../../standalone_bsp_1/ps7_cortexa9_0/include -MMD -MP -MF"src/xttcps_tapp_example.d" -MT"src/xttcps_tapp_example.o" -o "src/xttcps_tapp_example.o" "../src/xttcps_tapp_example.c" +Finished building: ../src/xttcps_tapp_example.c + +Building target: FASEC_Periph-test.elf +Invoking: ARM v7 gcc linker +arm-none-eabi-gcc -mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -Wl,-build-id=none -specs=Xilinx.spec -Wl,-T -Wl,../src/lscript.ld -L../../standalone_bsp_1/ps7_cortexa9_0/lib -o "FASEC_Periph-test.elf" ./src/testperiph.o ./src/xdevcfg_selftest_example.o ./src/xdmaps_example_w_intr.o ./src/xemacps_example_intr_dma.o ./src/xemacps_example_util.o ./src/xiicps_selftest_example.o ./src/xqspips_selftest_example.o ./src/xscugic_tapp_example.o ./src/xscutimer_intr_example.o ./src/xscutimer_polled_example.o ./src/xscuwdt_intr_example.o ./src/xttcps_tapp_example.o -Wl,--start-group,-lxil,-lgcc,-lc,--end-group +Finished building target: FASEC_Periph-test.elf + +Invoking: ARM v7 Print Size +arm-none-eabi-size FASEC_Periph-test.elf |tee "FASEC_Periph-test.elf.size" + text data bss dec hex filename + 130092 4376 55376 189844 2e594 FASEC_Periph-test.elf +Finished building: FASEC_Periph-test.elf.size + diff --git a/FASEC_prototype.sdk2/.metadata/.plugins/org.eclipse.cdt.ui/standalone_bsp_1.build.log b/FASEC_prototype.sdk2/.metadata/.plugins/org.eclipse.cdt.ui/standalone_bsp_1.build.log index 058779b2..d12932fd 100644 --- a/FASEC_prototype.sdk2/.metadata/.plugins/org.eclipse.cdt.ui/standalone_bsp_1.build.log +++ b/FASEC_prototype.sdk2/.metadata/.plugins/org.eclipse.cdt.ui/standalone_bsp_1.build.log @@ -1,4 +1,4 @@ -18:20:53 **** Build of project standalone_bsp_1 **** +12:19:31 **** Build of project standalone_bsp_1 **** make -k all Running Make include in ps7_cortexa9_0/libsrc/qspips_v3_3/src make -C ps7_cortexa9_0/libsrc/qspips_v3_3/src -s include "SHELL=/bin/sh" "COMPILER=arm-none-eabi-gcc" "ARCHIVER=arm-none-eabi-ar" "COMPILER_FLAGS= -O2 -c" "EXTRA_COMPILER_FLAGS=-mcpu=cortex-a9 -mfpu=vfpv3 -mfloat-abi=hard -nostartfiles" @@ -93,5 +93,5 @@ make -C ps7_cortexa9_0/libsrc/scutimer_v2_1/src -s libs "SHELL=/bin/sh" "COMPIL Compiling scutimer Finished building libraries -18:21:03 Build Finished (took 10s.535ms) +12:19:40 Build Finished (took 9s.748ms) diff --git a/FASEC_prototype.sdk2/.metadata/.plugins/org.eclipse.core.resources/.projects/FASEC_Periph-test/.markers b/FASEC_prototype.sdk2/.metadata/.plugins/org.eclipse.core.resources/.projects/FASEC_Periph-test/.markers index 4e493791f28759690b60923573db0a59b20e2af0..7202bb120be322ffce93fdc386ea2c210e0b0632 100644 GIT binary patch delta 241 zcmca6c};SH6Qlb?=RIut0yi!(=uTu)pRB_u$mlWIj`0eQzQD~S1~6dqoGix#7D$E) zcuoEX5lDv$cu&5^3|5f=74Vt7j|D7{0~PR{JdYJDkOvj;n_R~R7AS-Y_)m^w2MZKK z1p+49aa>^mx}#)sEq5d%2UIw4vK;4Zkn_r*0zp9co)co$V_;y6018(?g@b{vKF5U+ F1^^uZH(vk% delta 239 zcmca6c};SH6N@YpgUn<_PSJ_cOV|`%%(P|Doyev>S%*=OQEsvw;}ssD*c}EiV3MCK z#{?F*3l&h9{0|~<A1a_Y`5rS^#RI5-(&T+CV1Xx40p-c_Siu6%paLqB>)5~o&!GaU zljGRI0xzKgYLo3azyhzJ0_s4Ag-kxp<qmS(8>pbhWI1lIs<%)9&B_0`udo1ByxT0s H8OaC$ATUDy diff --git a/FASEC_prototype.sdk2/.metadata/.plugins/org.eclipse.core.resources/.projects/FASEC_SFP-Periph-test/.markers b/FASEC_prototype.sdk2/.metadata/.plugins/org.eclipse.core.resources/.projects/FASEC_SFP-Periph-test/.markers index c0c1fd2b4f298d1aed478f85e72c0567fe88eb69..33dc5d92987ca4cea87c6792e5b1df6d2d61ae0c 100644 GIT binary patch delta 238 zcmbQjJe`$|fq{XU!E_><c70}ENl|=CZlYc?P>d0Xfq==4i9sg6C|xf#IVZEAI8`q> zr9>||zbI9&peR2nCpDLWje(;$wJfzLv!s#<sDY6|04k$bmROXT2voxi6y{9MNGu92 zNh~U1`VRyQ>>$2tUJ8_#n_65Po|q0)YEhb3TAZ4q0Mo4Ss9}b4QI2Cll5<YBGth-O yj~ZqwMC*n_)ak<1#WHZ^Wag#%mF6a;76Bc?$_aE!gucMVBNJJEGcrzMoB{xdFimy< delta 19 acmbQvI)#~qfq{W}GCSjMMuy4EOj7_Q*aQ9m diff --git a/FASEC_prototype.sdk2/.metadata/.plugins/org.eclipse.core.resources/.projects/system_design_wrapper_hw_platform_0/.indexes/properties.index b/FASEC_prototype.sdk2/.metadata/.plugins/org.eclipse.core.resources/.projects/system_design_wrapper_hw_platform_0/.indexes/properties.index index 133957ac7194532a4721db11235364bdd159e58f..763942566a251e4c526326d587908e75d25a3f4a 100644 GIT binary patch delta 16 XcmbQtG?{6_AvPmZa}#5ei6^`PEK~(x delta 16 XcmbQtG?{6_AvOae12bczi6^`PEBysj diff --git a/FASEC_prototype.sdk2/.metadata/.plugins/org.eclipse.core.resources/.root/6.tree b/FASEC_prototype.sdk2/.metadata/.plugins/org.eclipse.core.resources/.root/9.tree similarity index 51% rename from FASEC_prototype.sdk2/.metadata/.plugins/org.eclipse.core.resources/.root/6.tree rename to FASEC_prototype.sdk2/.metadata/.plugins/org.eclipse.core.resources/.root/9.tree index 5d59f84c4a56002f47547b03d457825d34e811b7..e144f0e8b2fc9f2b77a9842e2345ea1a3b04b982 100644 GIT binary patch literal 29112 zcmeHQdAwvrb-w*(`t+L_n1#6mj2a~xgB#5-h>W6v8DJFLz)=UcbozDoylJO5yZg;N zj7iLH%)YyCh>C#V0t_eu3WA6VZo$MvViL#1#F)*HWD@e7d+V!P_uZ=7{E_^Xe7_&> zy`jIa>eQ)I%c;Bc>Q!@dQG)nY>2Lm=d$IXzE{cca#d@dJ>y9R!daFIHw}#_R{{Oz3 z|2K-N(Wc!y_nd!j<D$;EJKBHBv@@AT+gBFRZw{J^o%X^~x7Y5BlM?HTok72Opkw|Y zs;@*FC)4Jj-RunqoyNjs)Huyo_>PBD_?}jCFzAfW9S-(&7k8!A2CCSS+P`P_MW_7l zv>{Nz|8zTw&U<{(QwPwc=+3%&K66V{nXgr%RddzVQc*8?I~AYAoAKe^qtE=d36{#6 z@yERR$9y$fQ*VvN!&i4&Q*>rjL9Bs&;TyN5jLKW_dxQD=$|zb}U+hl1ivtZWOF#0^ zOWt58U&C)TM}OUqdg{fpGfUnAmL%M$@@|CXc?hgUmG4TdIP%a1_nJ?yOMmOn%JsF# z^p4Z@^-gaelp7QKFFAGN{clPY@wu5YJ}-(MQ3!lh)+gQ9YJ05w8?QHVNQn6SC|Y+( zx7Qsk*C(S+%jJLN+LTqf8NV0OzvoUu`?b&Zb$f}kc516&n<d`}VkaZ79WH1$OzQmu z>VyLQCQ#R=^vWIhb23~Sw>m-k^`N#*>5q(}Rg<xrT=eY?DMx=+4sVUtOqLef-LWy^ z;*~ZZ28}j9CW?;Hxnq<}BlWh=BkDCG-klc=y#kPk_}S>O<#y*_Yu{pHf{xPK8lB~4 zf7I&)>Vt%cpHn9H*A590Ul2tnEVuj3(S&t24mG-i={Qg|_(XhRQ0uQ3d?J3Xn%(I) zTTaDVZ3DLCtF~bd2@yZ9OrERV${``*=SR`;D{Ehxc6))EK_}uDgrxpjK_}uDM$y*g zZdaRJ-qF@(D2Vu?61Bf>D2VuCb=YerBdneew{l2`_(f%Mf9;SE@g8;Bq_wozZ8fIN z(TK4Y@Hgm0j17Qa>aUgi1?c0KMA3;_>$KbNjFX_AQ7;5U{L--4Uo!+myjR_2YaR`I zy-s^Z-H;IRC1rAd?T`@h%Z$qowWklK)u0pc%R^Fst)LU}E23!2@^q@v{V=*1Y$AST zK<Td&Y(jU7obz=DS=oE!q4U3!ep-1OS@{2N-@0;HJ1*S?IQ+Le{C8kOV5a2#ou+OL z_uF~gtphxw^3Et)pH8Hu63~dsyHJgp14}jF5xR+3pUxqr63~dsd$5r9CN1oPr}dt$ zU~!@Gpb(YIV3nCEN~-{msJs^%GrmhT;1QL}qiB;IBc&=ZiOT!Znl!dcHQ*7I_vhDS z-6O=Cl2!ka@4NN>l%^!RQ~M^IoQ85~9Uou~2(c@4%!ZcnY$N4H4<tn8gTb}buLYl| zV9&Z~#cCFaS1^gnRcdf(1x_kWT(tKGw=dk9ic}KS(!b}hY4$InuJrCZmyPsl{C;fu zw_s0Is5x1ETlHZQpJs4=XYO<kX46SpK;`Qt3!&dxA4)4u)YoX`p@q;dhlHqL6YO7( z{8F=LPHO&ect!DxAs{LrQM2u6S+Sz{<&Y4SkA~)TzZ7($^0C0|>{o(KRFKgGa!l;e zS8vw&`sg$6{(j0AZLJBes20fCGPYW{&M0pQ<ZMUJ+F`apwFaoDR8edCbGEE(bzC*! zMsm2AvmHHa+w0R$Yd@C$)}OVrYtdG3%DyshV{Q~bF;mA+ilRpc)rH*676BO%KRKMc z-Sa@os%he3npVSx*qggq@-C1~kJK)NkNvrurE40>vXLePayLsq+bR9o**c!)#g329 z0nebeb7k(v1k#qe6I9v^BZ9db1?n{-eo9`D+kf19S4vY75uc)?GBoMuaz!hLgox{9 za)0fR5b>$OHN#&u_(Z%dsP)$iJ`ta$W`|Y|f7Or>@%A#gzjjE7`1H`i<F6TXB7SN} z>aP`aB0i&J(eYOd1ra~3MD4E|3L-vJ9Tr-${FOsO#5>C5{@NiS;-`mJJb%rg6Y(=b zQh%+W6Y*K$#nN9d1VsGIu-IQS1VsESbysMm_16sv5o1XR%l)-Gt4NGlG1*^~=Wcd3 z(Mi-GJ|`sg*9tljW7eFVyV**0jx~s}MtGI}I(0>%63azCcXRdv&Mrc(J8@<1mL6fc zdk5?^2)P~!LNIr8>Hv?B8%lCFM*<q58-sA}=4ikp<i?WR&5?jc$W1GAw_@W#A>`w* zDww-DRe(pxC(?{c&t{6*j-vsOkekbMH%A2~A)icZ^5<@j20TJ;$*;-IuG0~KM98fr zxtk*ajgZ@P%!Zb6e~pk3@~Plj>eqr#$fwJ5H^*Qw3AtSj4z0jRrD^Ww$RQ!*4xK4h z$kPN2o8)4EflkP0N>(y|YoQ?IPPIF<lKJJ35P~h(%;d{yve~hzTs|9K68!Z-K*-%? zbGly+2_c^gP0W5N=!D!8m|p!#unGA*Dt%F>Kb&^<TsoO{`jZ!T`lH>rOf%6$D9yf( z9KCtj2<Gq`|35*c;=8EjkXM1G0)<4L%cHi2qtDv-V9Kk$8^5=te@9!ye@*Vp`*s-i zW%zCEsb20+*s`ME19EJHn4{O#C+!2-ML7m^R=9Htl@$K`><X4S8auipI?)vP{`9y0 ztbPD<%V=_D1J^32xJ2{x#x^xFJ$iH`pb^z8R!6H_!*+6YtU$biG?e{8Bre@StG5*3 z;&Y;U<?d*611;3cZjJPKd;g*2%qO)USKzKVoU%0#m=VcWqiAz;x!X9j(CBV&HTq3N zMtZhc)P2FxeGN{<h3{5!**Z|q7ajf|VOHxe^qQ~jGzQbg^wJS7;pqu#v6g!s)%{Vl z#xyFxzRzKQ9nG5bI-OB~`z43_$MEl@-wH6l>@fcXHXOti%HcR<@mC!7HxQZ2%{I=w z14YB(*7<)5C#K{^u(RN3%l|V3+wwwlqSs7HJP2P~$v1U1(F^I5?&ALGXwq(l+6-S? z)dN_Tms_JH8`gowz~ff)=i2gidmL;zTyDwVO2~TUJ5VybZpnXv9x#h>fDNx(_FrOq zz@<CrRe0VC{wlFWFL(w@hubar+uCL3P(L)j;B(7AqPC|CYoPQ89QJqAcC!pGv;zV- z+7|!Um}@h^xH|~>6Arhczj0~_dI(Opg70EdU+xSJ1`LA7E%k4c-OJ!$BfCK+pn}`2 z>hIJ`i=*x^*az^tWq(iYPubyd1IJsz-)j#imq-G(!0(p)eeGd$Jtfq`aJ%LIgId$= zHJX!2XFLs50GC_AKPDCQ8m(q)e<#3)$1VS#qUczyAmKN90R<dy75|J$JyRT9J~SL3 z2n9D>Z*~7-n$j7Mo6}~XB6!~lexUONOzSMSI-_ZKI0$Ia0ao*`+SkzRZ-$zJ9<b~O zldkJEy2C)xaK9!0n=uDB5sZfm&DMc{20dUk|E^mxnA7i0T8+^J6J=-GXpXu8DLTVS z|HHJT-(L!_(Giw?)Hrv9Q99ih5TFOF;6F`k^!AUkSV1RP&3~CnR1<U=dcX=EGQp*S zey1NW3%x)^4S!;M^h#GCbcE$&J5*clZ4a(H=m5*b7IH(v#lo{R2=7GTd8@(J^VsE4 zbKLBAAV|ZU8@z1Kb~O|R)eq^UnJLigfo7x2Y&EMBQLj1OHyrnI5q)1MAkl4BR84H_ z&u9%g%_^{YMr$UWrS=drN~k~3YgT~{+2kl1iy=>I&so*H#%`K-`5TYh>@xNm4J)1^ z1SZ8AI?oorRy%UsS)8$fsG;+$W}TXpUNR1tgg&tBW7MRS9b5!((I^uft8vRyyg&u; zzO7)rZnnq|SD{`_Jd0l&Obc+F5vTxex9p9k1<Bz@$f@wW6>P$v%ae8}Tx)Q;<sOGq zqJ$f^9R9ZS&57mH=5#4A7HaUfWh1j%v#d!*Xf>+A*_ORUr|{(3dB7>~v?U*}y<{%5 zhkODzTmA`Z%2IQTt!<!mxY@E#RF|jhuwUS2E7*!7$zFHCo6}tho<a30B*@@lUaU7k zPUj!wT=zVXGU6wYioEoR*iM0LZcQwtfLCSI>eZX0;|u9o<KSt5G!ru$QN0HD$%d-9 zjVxqApIRNwJLzt5>eTecpo;9plP<bv580FpE>T4m<Iy>{&^Wm=xI`7H%%h6!=e=@u zf5i|HRb(}>lm0Z2|ET-6dwcX6%HWo3OWgM<hxh4KGu#IYh$`}>LN?}a1DHe=iBm!4 zcb%qK232HGo7@zxad3N}+1FBoW`DS(*`IcJx6jRJwkB`}RitO0bg~#}n#NIs3~g<a zO@^cW!z9D*kCfPbyTiL<mfe~e8dQ<^xhZYYV9g9kM%K5^oERTGz426UfPI8)Sp3lv zi|=rFpII}*)0%D?R3FgkFts8uIKUvP$RsV>A5dTr)o<z4Y+AS&C><Q4iVV_C#Qkv& z5>Z7S=?eT7fkaf1LawJp$sNl6IzS_;$Rm%VWX3e2DW^g8h(<842XqHrJZoa7`Mu8E z1s+jFQn@xgDZt1(%^MFNB^mMXv66WBjKjNgenvc?UZRRb6%m}AZTJlafv6%$CE4$L z&0-Cz-_;F9+PqLOfI?J}o;v3yep|pHsz^&6zTX^hi0b!rj!ey&(XC(-Rpg}zpyXcn zNlCAQMpTiUt}`bz80P+U<YQ!`TdynW);k^EUB}GmR#Z$>k@VVACBHAhAgV}rE!*!& zO^6Mu$Z%JlK@}%HO@k>wlDqN@%5M{hL=}ndN|E0*5Q!=>U3*(2PM)XZVJ|Qa!6d3k zch~WZEEKBX5LG0*)&V#k^S1>gqKa(S75Li$5>Z9UyPg)6%v+in8&r|;ZlI(rR5dfE zMo%2@>>8d^!JcP(V9R(N>4??qOJemdhxb`{)SSOz4EU$E>mB|LCFP<lqI&nNrA`xa zgDUcDduHhGBhAFgMviUS{tnVCoNVOR>v+N#3K`A84K!_ZPb9tOD2dRA*Ia?uqT~rT zqmVfb8RPSC$S92v<krVgQa&dtl@KJ^8>}omDJqW;<k@S}Bh%oVcmv6Zv%@9vaJR$z z+>AL<>m>yK<sq-%|4s)8GIo;vz6XJjd0pz#<^_U5DTJ)i;IRkDep|pH1Zlg&_nQL_ zA?uPOyX2vvl1KoH5ajMSrcD&)0-xV1@CZQ$ztL!h$<gk_KNBjE5M=V3jA%y0fk_DR z`Snzl1RQ9DAgQ;KUh^QH74>b$ltu{B`gP_A3qg9icRzNRYy|0zB|-W*hj-76NlPmx z1jjP=*v)S;7=$FJGR`4gVI5WmA+g4hX<;Z%!69Ue&OzCsp5Gjh2su74@JA|0gq)z^ zU}`82A}|R#QRgkAnh`~y60%i;oU)QI0*{bKz-8tPF&Gm!l8u<SsU#-uad@Af6%(kK zkVk4vq*esN7z{!l<*@xd00tpHq%mPy7>WsS2zj)2vEhgIK1w3=$qiTFw+JLcPSTNU zYABh$KqKUbH6V;+MnHf{$YV7iC@TpF@CbPv=2l438z_Ql+fC#nBtBje5}$W?U#OMu z@3m?|e#CTJVn-k-z#-)EIljLWz#-&EbqTTU3<U;Qgq&=;Eq7~4aXtc#kSE~iEvsZk z$AL`96Ls3K)|Kpbl}X5x(y^?k>A{ZsIN9j9Pn2}r7aZOfXLTGZCgjOxbm|oV|AYz# zAy0AG{!RmfkW)-2YYRhN1`Z*0Gc=v+3&jotiI7vxI8(ul?gEvNZDyP$vXXHI9wDcp z<$5JUyK0AbDgFex=&GAby6TG#@7`Hmg=z`ej#K{hYKp(3@Hru;Tdu#G@Hrt*O*&QE z7V0E02suOdmWe0KofyR~0)>#LCBD|e%hyxz2stwu?5ZgnN?;MP1GQxLZ!`{`(Ky4~ zM>kV}KKf)yAKmNl?t9&gc@UKo@^tteHy4DC+w^lno?*GUJI(KUFlE$qt7LZdH7uw# zc(5}F3{sRu$XS+~EB6kwx2)-wisfSItu-bK;{$<mQ5GT3wA@^|)?VFtv0N<CwdMkD zF$|Q8vIu#W<@(Fj4I0bEN`5S^GB<dC+aB*O8YL3)V=EN?I&?e7I<WZ5!f-gP`wK^b zgq%&Pzf|4rvDA|=8RqXlEDjtLN60y_yS2YLSnTwMi}mTUzW1f50E>{FsI1i+>Z^sk zTzpQ*F3ZhXZev9EoU|NUly&B|NqtX>w``P0$hnvy^g2#5v-*7q3L)pY^qe&|vUKxF zYq0sL;dY<FFwjaAN67h>>n|6h%9e}WOl@ClFby2gX<3Bqw%lB~)_WLLwp{FbYWpxZ zg+>C(BIMbYn=9A)0i(*6i`~)uVrM$)wgZkpVT3#<Bl}C%9Wp(PUDSMcuyE-#6i6tI zkP9+$u4L;A-Ac3Mla)MaPN$a!Y({B>T$qt_C0lQt1S(6$ZcGkzd!f!lS%f^7)Lg07 zUfqzhRP5Gjy-BANnjKLTA<whiT)Ea--L$h@Y`~;sGDlH_JfBp5sk#Sesk)ULE=@~1 z4uui&0*9R|+j;_#Ys<#Au9n;f7U(#XM#u{-H&?FpgzoEUGq!&yH@OKM^Ge}1bS;aJ zi`LIrh%X}*K6@`tgY50)tzM@YS~)=^<Z^5ytf*vT2p%Et!;Jz~W0MX43=A$I@AvBb zyESkL`2alDUh4NR4J=RioRBM!m-y~sFRmkC67oSrhI<ctkqrhRS7Ou7+xT0d4J1f} zT!o&og6wYmVl5yNay7C!iuQLWp~)09LOz6Sj*@<IcV448?gzXEG9lNXXI2RN3jrOt zgj`#!a5QQKgdh|8+JO1d(sZ!Y4~(vhNJU^@oRM?G%MM#i-*$M_AsFNBFM)DV79lSp z)nDq#PN}DYTC!(ENrdbnHCL*g3NUS3TesoQ^kE49;6YJ@yx4O60|uWH@)FC<*<k&J zN#5Fkbjv<uQ4C0wM951KB-3$oFu@I$xQ!U^3tGUt&iuO_a0%J#)#WU+-oY|qEkklQ zzcj#G?Luo23MS-|jGQakwi!!>Eg7lc{8E|YQ5qpH%gDKst<6{{Y{^I)?Sm-(K1Fea zyxd{?dld{qUg5C)mSAbImLMao9U6CoI~tTm$SW;3SFZIP#*{4=S!?n6mSW(bNJ3r( z58}oQK1fm|gFwiSWBAzT7>ZPUOh`k|pzz4U%I6r0DliH8iR4OA@08Yfx-AgBpb*k@ z={fh<0Knv6-Gda}ebT@m11OV_g}lHY1|SjA$_xA^VP>%=?a(tR+zg)HpYFE^g%Z-v z@%;vYLr5pb&)H)m2(yf}2L}R$XC;bVhEfUHhZ)4Spy56%QPhD;$f9wT^Q=Ts01_el z_2>q!%AS?*y9+!*x&@8jW#AF=>Vn4aHa*{}rK%dvJ95ut6kCE)2|1u+4lTh02L2|1 zKu9km`)vn-kbXwa8EuCHW>;%84pS0`=AZT`nvOyV8HAqp@HYf>LWUu!zgeIYG73p^ zjkE!ciQYC6Cp$^c=rbmM8&NnRuW{IZv%nx^?6CcoV4}B{;1npCea!PKeq&H1A(Ono zZx2X>O!ET2NmwJSNjN)7A|QK0#%~o0CS<9g@f!vnAqNYZoNYEbF+p3~aQKwC%RGtW zHw*<6awsqGTLls!%XvZ0BpXYZpsh*gn8}gP`uJ@^v4mW@Lg6<GEJ9wpLXoq|IttUY zwQ8rC;<G1Oi$fTt67rLfX3rB9x%ixr*J;P;vq$y`9Dkd^Bjl$F8h@+7Bjl$G8h^Vn z&)RnH(i<#_Pazc>gu)5=nM9O(3d!Fh5D9sGQRHtDh=lxXQRHtEreWKrbG7$J+4D|* z+fXziKes~RHw-L7etw0*Zx!ZEYt?y)BL7TP(ak8AkYCW<U-lH1eWt3Y0+Wzm)F>!C z^i<S<N60Vf9IWS==E0jH8w^5zS=o9jf(LK>z6FDjH#ls+U%?>cR~&ZEr?)*J<<zhO zSf8Gct!w(2PVf%EPqJuafwz=g9lFoqeQCzc&1xkfZ`9pF`e2dY86Xhys~Oqv3lIqT zHQkt}%?q8ufI`Tx>lBuIXsOtZ;1Kc~I<``N`DHv13HeQp$y7As;w+ei{FbIvMpbeI z2O1&2jn<fFq=J2W3)$$~TTA-(OAhbLYiArdqGCdRN2Ad^*X8eAFbMfwhwblOFbMfQ z?Jm>8Q1^mE$nWbyn>{t=HwPp_{va>#TLcmzf2h4=YACrW1~fw6q~TyB<v|1{A#c`8 z{6;k+ia;ggEjqJNRuV?w5%N~`a`GmO@RLWkl8rFBtt5=T?C`!aYl=X{guG2hefl~M zf46}^$lEirzso=%<Q+Oiq|FP(5GaJaGxJ99LO(c!yi3=twD^*M0E>`!Ye1xm89fUo zA@9-1Gpdq~1&xr)(DF(02BCU?GQo9*;u~@<2YD_P=o@k#m(m+;eGAE;acGPezT^Gt z`wuln+{z@c%`tC)J>x-6N2^gr#Z+9u^x^qpjf`9cah)M=!b;U1cMsx$@a#g!k)wA! z$9!%Q-{fEOmD$f&I?@fM2>rEjE?V8js}j6*Y;=ft`PP=gbBy(VJ9~TJ-lN-J`hZa! zhu>^=jCUjzmD5%a46ZVna<ik{vhsC$P9xwSi{tzcfM$il1Z&RmI4&ug<BqLN2Lr6` z1h38=W|<CkSlx*a{{hgf090lR*otV?7c|-6<{O7L?79Rr#XSC#<S$HfE?vzl($_e2 z^zpH_^!?Mm_DcG$>Pt?|Dp>0%;Q3>$BJIYaK*N|8AsCW3Olboei=%YoSG4LFM}jEG zOZ*Kv){&r(IjHxy^-E<|CvSvzTcHMV+^ok--@JpmzR=ZMD~e5m!Zv9O7||;G18*0@ zzxlJ``6{JV74tngt3qFcgW0C!TW;{F`z1E0DjhnP)W3SF+1(~zV$+0?z}#aH6#Nn! zkXNTK6?Vusg4IsA3E6oOvX_1ns2Vmv<#zS7{Sq55{d(|P2|Jp5L^_IBx$ikv(Zy&} z#kK!11T^W<2r|v``5iUjy8j-kx9sDLg>RTC{ZzG~?}rJ0l-9W71%tY<rQhz7)EN3y zwf>hAwu7IjCj8ARWgn)N@;-9EZ$h7@mYQ3R^Iv(9SnB&kHNo#BDfuk5)7~Y!;{EUH znUa^z(i**GGwB8U?Lxs%v_9{9U9~Fj2T<gOwg1iU?$8duEgf~tco{kvp&@><ndp;u zo$HnYs696@#2+^@gkAV;=HS`|7_-^C&fPI<>F0vZva!nu3~@_8+bMl_V2E?pv7()h t2z9taT6@9$cN!jiV%Z<Yp-)x&|3lpW#YpNK{~Jfs$>{&w5JyNl_;1trFG2tS delta 5815 zcmaJ_ZE#fO6~3E~y<|5D36R}Qc9U$_#7!Uu3d(mvKut&pn!q3+nDy@N4Y_1DyX?Ke z(001%Ogqz=+8N>a!x^nkXWC9X)0tLR6hQ?PzoCM*wI4)DwSo#5Y86Yd_Po1$-#s_U z?ftQv?DL%GJm<WhyN5rp{P3j3Q;?sRC#0W}H!|<~Yu<tbp5?`YB|pz1Snxwj;ejXS zL2KSMm-pf$7c(sTlR8@v>?!EU_g4}yMZl*7sC7A#+r1Yba%DcYJdf{z%-?y{f-oy8 z4aoyaL}uC6p(zU`Ka0d*j%<qs_sAhlZHW%eNI!y9F+;b!C<rz+Hj)U*>OwRA)5w*w z^5`#LO@v@FuL7h3AC}84A4ZDq!UK;MR3i9rCQPtDmHh+X?1$jA5j;e|r{Vim9vBnt zG@3ccKK5roidDmL(MAc+=rGD3hT~P0zA%<vX32Jn+h88EkNsK3gP+VbWMZw<2}j^} zbNw_6Yn%=qg~_36=(QSb9Mxg8@f=)@Rl<*rgy#t1dD!cA`C>ErWW%3*>@UEgQuox3 zyr9FF=@<keo~a!<MhM5jTVW{VH~~)}=z(L#hMypW7vZrAHqg9@IA`?7{t|*N*imR` z;!8S==1xMNqY7Fa2Es`~co_)|RQ_cGz5?Y%9=I~g5d8`vyov-?8eep;67V(XEn?0& z_!<FUN6-boO-pma>xA&eL7NlCi&$!olWoBM=0V$hioHo<Po-Ab9<W*pab3HTtveTI zAh84AaZ0jB?3!s+Z@ZBruSZrvnk$H%xJ+kQ)!S~YUObki+=lPEHs;Y+bxYKj+Xbln zTIB2Uw?p2X#ukfEq>co`N`h_#G-MqK=}3L)+$CcD(ODS-*3&uE`Zk=sxiUFVUw@qQ zd%}4KXGc(thhoD6%I=myTnE$nZxQ|<1fe_{j7Z;>1H)QC+aH%zlc+P9s3g7#);|hD zQ9Kc2o2Lo=EJ^Yw92qqt%W)I#+l2eBAQY<6kO}i00rO`YZ2dEu*oS*^EMevutK;my z;M5qE!lAes8EfL?zhWCR<iOzl1~1*YvB9!<z~2O+bTlZbO6dPK5v$`N=b&_vvv&IM zU|F0q4r6tmJIH*%DmeHat0){!m{x>UaPs>wQCC4{7CPqOc_^Llpx`+IPQaS^l}X}Z zoPd8%dx%P=9?&FD^8xE>n31P;HP_Vl3I9VJ;88g$;h1eT3_^~6p3wgx2*p`?AQ(0Y zm>>ZkL6fhVmW|bLvlpP>S9u#L8{KmdZzI-Gd3c{m5;V@KAHxw}4NZbJIrs@o`V5W# zh=89$O+7pBqxxoWfq<9bu6o0Gq9rbS8NOTZqLCjH@Cy9KDEkQkKZ9{&<fjCjgp!6T zDtn25S7B{~w~M&FOu&D#p;00MIgyYw$)synNWkats|F99be|FMUu<5W+MpCMk(wmz zFW3}Cwh}WTUnS%(1z|QL<D^T(8iP`3$Rr3W;_bc$Z*6xaXWnc-C%mt+zN66*6IHB? ztNuINvv@)o)&@)hu()i%pkTe8HLuC47Lr1PW_vRh$+P??n}x-gcIX-jxB+|ohDm@` zaka1EQNQbUG6}E<4*nO9fzim4klE&r_Br<(_{#60Qw@FUpeR6d<6RWIK|l-Kd9R~k z`nLKt;oy;8G8&H_Y@A0`&>v5c57!$FRenRj0vPLeZkm=Nef`%@|3Ws_d)2sNwlj(r zqG*K&o9I#(*`-6565&J>T?=A90da3A8dXChyA_kRicChADq^FZVViEjB3kDGHnwGB zfR<FEa$@F5C|XH?ogIWMfbq?9uwLn=inAr$AJ$9~V3A2qFUi(`baQ<yln0cud8dX= z2Lfy4+}U`^OLM16JNY&&Vu_qz274FM4P3-xI9LvkFLaPiOhoG(oWr&yO*6fZh-jOW z=dxi)lc)O1*+T1_KaaI^L`rCJb*D*B%Lu)KwKPLF*Dogl4*bR%QGy_~RKU-h*^OQQ z#%g~8Y1zmAWa_=<J#1b-s9)Bx5N^^1_jR;;ycZwXkl~BynuC=%XaSh)b;G+IbyNYp za#9tPb+%IweR0qYgPlDTbP~`5r#jo}i7^)e@wb88B^t_Gop8s7Br0*EXpX0;fpZ)7 z(KJ;Atc5*Y?X@J0n}A*{aUe9TL0!NF6J4yzAKiyIKB5O-^z~5(Ro(4%L<IeDa6V3> z-Le)}!ce!;2?x8`VDNU=5T*}Kb+1PmUPUbd>rqBgB~9C(mg(5YWH{4Hm<=$tvCT`s zIs)E=)+4Gc52aPU*vM+)DrlA4_CrfgTMYqy1Z>3F8ynH!Y_}VJ(ZjxMat-v!HJadj zk74v12)H1%VpC^6ww{NgHy*kW?{5iN%Jl3|uNPDB*82&s87}v>xk*FO8W*`4i-lvG zJrL~MR80~!5l&0`>kJ&|cfp5!GN$1}vw*M`fwXx&^??3(n#FK*GaEM^*-XH1VXHFV zfuJw!f@NF!XbyD9WtPC>TUfVvKhPQnm!__55iK-y5kYSO$JXyqkgaBYcHRoV-a1Nk z(GmxjrB>cu%XE3xB?Mg#@ohh)x=RVT0vfiTrjfT0a3$DwBq(?*0aw98JD6Rba~T0w zga4i%(1pL8fNR)3h_}D=WeE0mx!}S*+o;kCLT-h-cYY5+J}xT>*anw&o~Pg{0<MMr zMh9Hq^-H<{Rue*d=6(XbyJ}(l-W^m2E%NTJOWoPO#^NJ$x0Rsl@hHn28T*#PnI+ED z$-rZ?lHLoAXOP4mJXHmDm0%AZTei5mJfI9K#zQzqXGf||$AhdSN7tee{Gnk^_J@gF z1Up#u2t3V-F#;wA=emdoJE6>mV#Q5Cc9!b#Ww2IpcalhUmg*oLO*VDEs>#uo!SDcj gDVXMk+))HO{7kvYPqf%kt82}{aQr9zf*%Y215Xf-lK=n! diff --git a/FASEC_prototype.sdk2/.metadata/.plugins/org.eclipse.core.resources/.safetable/org.eclipse.core.resources b/FASEC_prototype.sdk2/.metadata/.plugins/org.eclipse.core.resources/.safetable/org.eclipse.core.resources index d1d2a6302fc61ed49fa5cb6bdcfc88ad95da672d..8e9e24777596c43ed376322d8de67edceccc79d8 100644 GIT binary patch delta 230 zcmZ3$K8t;VytG?Urh;F7nSz0df}xR>p{13Hg@Utdh=P%U;lzoOd?~3(rRjRf`9-O= zB}Ju^tr;aJR*NxOPPSo`n0SGO14ZHjA2U$R#7Ej3My3|V78a(KKochGF>->0fm+qT mbSfi?JWp~;3Bvq+jD`eUG%?r?ZvDh{@n}{hq3|~{$^!t(<3q6k delta 168 zcmbQmzJPs#yl{AGih^H$nSz0lf`NsVp{13f(d0jj@r-5@Cn`>^Ws2f7FgG+bH8M6e jnmAEnasVUCWPL`~$&AdAs1kLIoReFa#U`I(q_&X&4x=o~ diff --git a/FASEC_prototype.sdk2/.metadata/.plugins/org.eclipse.core.runtime/.settings/com.xilinx.sdk.utils.prefs b/FASEC_prototype.sdk2/.metadata/.plugins/org.eclipse.core.runtime/.settings/com.xilinx.sdk.utils.prefs index c5cf9c4e..5a7f79fc 100644 --- a/FASEC_prototype.sdk2/.metadata/.plugins/org.eclipse.core.runtime/.settings/com.xilinx.sdk.utils.prefs +++ b/FASEC_prototype.sdk2/.metadata/.plugins/org.eclipse.core.runtime/.settings/com.xilinx.sdk.utils.prefs @@ -1,2 +1,2 @@ -com.xilinx.sdk.preference.invokecount=6 +com.xilinx.sdk.preference.invokecount=9 eclipse.preferences.version=1 diff --git a/FASEC_prototype.sdk2/.metadata/.plugins/org.eclipse.e4.workbench/workbench.xmi b/FASEC_prototype.sdk2/.metadata/.plugins/org.eclipse.e4.workbench/workbench.xmi index bc5f7e40..bb1a27c7 100644 --- a/FASEC_prototype.sdk2/.metadata/.plugins/org.eclipse.e4.workbench/workbench.xmi +++ b/FASEC_prototype.sdk2/.metadata/.plugins/org.eclipse.e4.workbench/workbench.xmi @@ -3,7 +3,7 @@ <persistedState key="memento" value="<?xml version="1.0" encoding="UTF-8"?>
<workbench>
<mruList>
<file factoryID="org.eclipse.ui.part.FileEditorInputFactory" id="com.xilinx.sdk.hw.ui.HwSpecXMLEditor" name="system.hdf" tooltip="system_design_wrapper_hw_platform_0/system.hdf">
<persistable path="/system_design_wrapper_hw_platform_0/system.hdf"/>
</file>
<file factoryID="org.eclipse.ui.part.FileEditorInputFactory" id="org.eclipse.cdt.ui.editor.CEditor" name="testperiph.c" tooltip="FASEC_Periph-test/src/testperiph.c">
<persistable path="/FASEC_Periph-test/src/testperiph.c"/>
</file>
<file factoryID="org.eclipse.ui.part.FileEditorInputFactory" id="org.eclipse.cdt.make.editor" name="subdir.mk" tooltip="FASEC_Periph-test/Debug/src/subdir.mk">
<persistable path="/FASEC_Periph-test/Debug/src/subdir.mk"/>
</file>
<file factoryID="org.eclipse.ui.part.FileEditorInputFactory" id="org.eclipse.cdt.make.editor" name="makefile" tooltip="FASEC_Periph-test/Debug/makefile">
<persistable path="/FASEC_Periph-test/Debug/makefile"/>
</file>
<file factoryID="org.eclipse.ui.part.FileEditorInputFactory" id="org.eclipse.cdt.ui.editor.CEditor" name="xparameters.h" tooltip="standalone_bsp_1/ps7_cortexa9_0/include/xparameters.h">
<persistable path="/standalone_bsp_1/ps7_cortexa9_0/include/xparameters.h"/>
</file>
<file factoryID="org.eclipse.ui.part.FileEditorInputFactory" id="org.eclipse.cdt.ui.editor.CEditor" name="xil_cache.h" tooltip="standalone_bsp_1/ps7_cortexa9_0/include/xil_cache.h">
<persistable path="/standalone_bsp_1/ps7_cortexa9_0/include/xil_cache.h"/>
</file>
<file factoryID="org.eclipse.ui.part.FileEditorInputFactory" id="com.xilinx.sdk.lscript.editor" name="lscript.ld" tooltip="FASEC_SFP-Periph-test/src/lscript.ld">
<persistable path="/FASEC_SFP-Periph-test/src/lscript.ld"/>
</file>
<file factoryID="org.eclipse.ui.part.FileEditorInputFactory" id="org.eclipse.cdt.ui.editor.CEditor" name="testperiph.c" tooltip="FASEC_SFP-Periph-test/src/testperiph.c">
<persistable path="/FASEC_SFP-Periph-test/src/testperiph.c"/>
</file>
<file factoryID="org.eclipse.ui.part.FileEditorInputFactory" id="com.xilinx.sdk.sw.MSSEditor" name="system.mss" tooltip="standalone_bsp_1/system.mss">
<persistable path="/standalone_bsp_1/system.mss"/>
</file>
<file factoryID="org.eclipse.ui.part.FileEditorInputFactory" id="org.eclipse.cdt.ui.editor.CEditor" name="xemacps_example_util.c" tooltip="FASEC_Periph-test/src/xemacps_example_util.c">
<persistable path="/FASEC_Periph-test/src/xemacps_example_util.c"/>
</file>
<file factoryID="org.eclipse.ui.part.FileEditorInputFactory" id="org.eclipse.cdt.ui.editor.CEditor" name="xemacps_control.c" tooltip="standalone_bsp_0/ps7_cortexa9_0/libsrc/emacps_v3_2/src/xemacps_control.c">
<persistable path="/standalone_bsp_0/ps7_cortexa9_0/libsrc/emacps_v3_2/src/xemacps_control.c"/>
</file>
<file factoryID="org.eclipse.ui.part.FileEditorInputFactory" id="org.eclipse.cdt.ui.editor.CEditor" name="xemacps_example_intr_dma.c" tooltip="FASEC_Periph-test/src/xemacps_example_intr_dma.c">
<persistable path="/FASEC_Periph-test/src/xemacps_example_intr_dma.c"/>
</file>
</mruList>
</workbench>"/> <tags>ModelMigrationProcessor.001</tags> <tags>activeSchemeId:org.eclipse.ui.emacsAcceleratorConfiguration</tags> - <children xsi:type="basic:TrimmedWindow" xmi:id="_EQPyMpeoEeaApNdtiKpSzA" elementId="IDEWindow" contributorURI="platform:/plugin/org.eclipse.ui.workbench" selectedElement="_E027IJeoEeaApNdtiKpSzA" x="1836" y="209" width="1024" height="768"> + <children xsi:type="basic:TrimmedWindow" xmi:id="_EQPyMpeoEeaApNdtiKpSzA" elementId="IDEWindow" contributorURI="platform:/plugin/org.eclipse.ui.workbench" selectedElement="_E027IJeoEeaApNdtiKpSzA" x="4016" y="234" width="1024" height="768"> <persistedState key="coolBarVisible" value="true"/> <persistedState key="perspectiveBarVisible" value="true"/> <persistedState key="isRestored" value="true"/> @@ -63,11 +63,9 @@ <tags>persp.newWizSC:com.xilinx.sdk.appwiz.AppWizard</tags> <tags>persp.newWizSC:com.xilinx.sdk.profile.ui.wizards.ZpeProjectWizard</tags> <tags>persp.newWizSC:com.xilinx.sdk.sw.ui.NewBspWizard</tags> - <children xsi:type="basic:PartSashContainer" xmi:id="_FNBjgJeoEeaApNdtiKpSzA" selectedElement="_FNBjgZeoEeaApNdtiKpSzA" horizontal="true"> - <children xsi:type="basic:PartSashContainer" xmi:id="_FNBjgZeoEeaApNdtiKpSzA" containerData="3130" selectedElement="_FNBjgpeoEeaApNdtiKpSzA"> + <children xsi:type="basic:PartSashContainer" xmi:id="_FNBjgJeoEeaApNdtiKpSzA" selectedElement="_FNBjiZeoEeaApNdtiKpSzA" horizontal="true"> + <children xsi:type="basic:PartSashContainer" xmi:id="_FNBjgZeoEeaApNdtiKpSzA" containerData="2874" selectedElement="_FNBjgpeoEeaApNdtiKpSzA"> <children xsi:type="basic:PartStack" xmi:id="_FNBjgpeoEeaApNdtiKpSzA" elementId="topLeft" containerData="7500" selectedElement="_FNBjg5eoEeaApNdtiKpSzA"> - <tags>active</tags> - <tags>noFocus</tags> <children xsi:type="advanced:Placeholder" xmi:id="_FNBjg5eoEeaApNdtiKpSzA" elementId="org.eclipse.ui.navigator.ProjectExplorer" ref="_FMuokJeoEeaApNdtiKpSzA"/> <children xsi:type="advanced:Placeholder" xmi:id="_FNBjhJeoEeaApNdtiKpSzA" elementId="org.eclipse.cdt.ui.CView" toBeRendered="false" ref="_FMxE0JeoEeaApNdtiKpSzA"/> <children xsi:type="advanced:Placeholder" xmi:id="_FNBjhZeoEeaApNdtiKpSzA" elementId="org.eclipse.ui.views.ResourceNavigator" toBeRendered="false" ref="_FMxr4JeoEeaApNdtiKpSzA"/> @@ -77,7 +75,7 @@ <children xsi:type="advanced:Placeholder" xmi:id="_FNBjiJeoEeaApNdtiKpSzA" elementId="com.xilinx.sdk.targetmanager.ui.TargetManagementView" ref="_FM95IJeoEeaApNdtiKpSzA"/> </children> </children> - <children xsi:type="basic:PartSashContainer" xmi:id="_FNBjiZeoEeaApNdtiKpSzA" containerData="6870" selectedElement="_FNBjipeoEeaApNdtiKpSzA"> + <children xsi:type="basic:PartSashContainer" xmi:id="_FNBjiZeoEeaApNdtiKpSzA" containerData="7126" selectedElement="_FNBjj5eoEeaApNdtiKpSzA"> <children xsi:type="basic:PartSashContainer" xmi:id="_FNBjipeoEeaApNdtiKpSzA" containerData="7500" selectedElement="_FNBji5eoEeaApNdtiKpSzA" horizontal="true"> <children xsi:type="advanced:Placeholder" xmi:id="_FNBji5eoEeaApNdtiKpSzA" elementId="org.eclipse.ui.editorss" containerData="7863" ref="_FMafgJeoEeaApNdtiKpSzA"/> <children xsi:type="basic:PartStack" xmi:id="_FNBjjJeoEeaApNdtiKpSzA" elementId="topRight" containerData="2137" selectedElement="_FNBjjZeoEeaApNdtiKpSzA"> @@ -85,7 +83,7 @@ <children xsi:type="advanced:Placeholder" xmi:id="_FNBjjpeoEeaApNdtiKpSzA" elementId="org.eclipse.cdt.make.ui.views.MakeView" ref="_FM_uUJeoEeaApNdtiKpSzA"/> </children> </children> - <children xsi:type="basic:PartSashContainer" xmi:id="_FNBjj5eoEeaApNdtiKpSzA" containerData="2500" selectedElement="_FNBjkJeoEeaApNdtiKpSzA" horizontal="true"> + <children xsi:type="basic:PartSashContainer" xmi:id="_FNBjj5eoEeaApNdtiKpSzA" containerData="2500" selectedElement="_FNBjlpeoEeaApNdtiKpSzA" horizontal="true"> <children xsi:type="basic:PartStack" xmi:id="_FNBjkJeoEeaApNdtiKpSzA" elementId="bottom" containerData="5770" selectedElement="_FNBjk5eoEeaApNdtiKpSzA"> <children xsi:type="advanced:Placeholder" xmi:id="_FNBjkZeoEeaApNdtiKpSzA" elementId="org.eclipse.ui.views.ProblemView" ref="_FMxr4peoEeaApNdtiKpSzA"/> <children xsi:type="advanced:Placeholder" xmi:id="_FNBjkpeoEeaApNdtiKpSzA" elementId="org.eclipse.ui.views.TaskList" ref="_FMy6AJeoEeaApNdtiKpSzA"/> @@ -94,6 +92,7 @@ <children xsi:type="advanced:Placeholder" xmi:id="_FNBjlZeoEeaApNdtiKpSzA" elementId="com.xilinx.sdk.terminal.sdkterminal" ref="_FM_HQJeoEeaApNdtiKpSzA"/> </children> <children xsi:type="basic:PartStack" xmi:id="_FNBjlpeoEeaApNdtiKpSzA" elementId="com.xilinx.sdk.logger.SdkLogViewMStack" containerData="4230" selectedElement="_FNBjl5eoEeaApNdtiKpSzA"> + <tags>active</tags> <children xsi:type="advanced:Placeholder" xmi:id="_FNBjl5eoEeaApNdtiKpSzA" elementId="com.xilinx.sdk.logger.SdkLogView" ref="_FM8rAJeoEeaApNdtiKpSzA"/> </children> </children> @@ -125,29 +124,21 @@ <tags>categoryTag:Help</tags> </sharedElements> <sharedElements xsi:type="advanced:Area" xmi:id="_FMafgJeoEeaApNdtiKpSzA" elementId="org.eclipse.ui.editorss" selectedElement="_FMafgZeoEeaApNdtiKpSzA"> - <children xsi:type="basic:PartStack" xmi:id="_FMafgZeoEeaApNdtiKpSzA" elementId="org.eclipse.e4.primaryDataStack" selectedElement="_lqA3IKBXEeaEOKcZhZOfew"> + <children xsi:type="basic:PartStack" xmi:id="_FMafgZeoEeaApNdtiKpSzA" elementId="org.eclipse.e4.primaryDataStack" selectedElement="_Ir-JoJ-KEea_7cm4U4eRpQ"> <tags>org.eclipse.e4.primaryDataStack</tags> <tags>EditorStack</tags> <children xsi:type="basic:Part" xmi:id="_Ir-JoJ-KEea_7cm4U4eRpQ" elementId="org.eclipse.e4.ui.compatibility.editor" contributionURI="bundleclass://org.eclipse.ui.workbench/org.eclipse.ui.internal.e4.compatibility.CompatibilityEditor" label="testperiph.c" iconURI="platform:/plugin/org.eclipse.cdt.ui/icons/obj16/c_file_obj.gif" closeable="true"> - <persistedState key="memento" value="<?xml version="1.0" encoding="UTF-8"?>
<editor id="org.eclipse.cdt.ui.editor.CEditor" name="testperiph.c" partName="testperiph.c" title="testperiph.c" tooltip="FASEC_Periph-test/src/testperiph.c">
<input factoryID="org.eclipse.ui.part.FileEditorInputFactory" path="/FASEC_Periph-test/src/testperiph.c"/>
<editorState selectionHorizontalPixel="0" selectionLength="0" selectionOffset="1655" selectionTopPixel="270"/>
</editor>"/> + <persistedState key="memento" value="<?xml version="1.0" encoding="UTF-8"?>
<editor id="org.eclipse.cdt.ui.editor.CEditor" name="testperiph.c" partName="testperiph.c" title="testperiph.c" tooltip="FASEC_Periph-test/src/testperiph.c">
<input factoryID="org.eclipse.ui.part.FileEditorInputFactory" path="/FASEC_Periph-test/src/testperiph.c"/>
<editorState selectionHorizontalPixel="0" selectionLength="0" selectionOffset="1555" selectionTopPixel="0"/>
</editor>"/> <tags>Editor</tags> <tags>org.eclipse.cdt.ui.editor.CEditor</tags> <tags>removeOnHide</tags> </children> - <children xsi:type="basic:Part" xmi:id="_lqA3IKBXEeaEOKcZhZOfew" elementId="org.eclipse.e4.ui.compatibility.editor" contributionURI="bundleclass://org.eclipse.ui.workbench/org.eclipse.ui.internal.e4.compatibility.CompatibilityEditor" label="system.hdf" iconURI="platform:/plugin/com.xilinx.sdk.hw/icons/hwspec_file_icon.png" closeable="true"> - <persistedState key="memento" value="<?xml version="1.0" encoding="UTF-8"?>
<editor id="com.xilinx.sdk.hw.ui.HwSpecXMLEditor" name="system.hdf" partName="system.hdf" title="system.hdf" tooltip="system_design_wrapper_hw_platform_0/system.hdf">
<input factoryID="org.eclipse.ui.part.FileEditorInputFactory" path="/system_design_wrapper_hw_platform_0/system.hdf"/>
</editor>"/> - <tags>Editor</tags> - <tags>com.xilinx.sdk.hw.ui.HwSpecXMLEditor</tags> - <tags>removeOnHide</tags> - </children> </children> </sharedElements> <sharedElements xsi:type="basic:Part" xmi:id="_FMuokJeoEeaApNdtiKpSzA" elementId="org.eclipse.ui.navigator.ProjectExplorer" contributionURI="bundleclass://org.eclipse.ui.workbench/org.eclipse.ui.internal.e4.compatibility.CompatibilityView" label="Project Explorer" iconURI="platform:/plugin/org.eclipse.ui.navigator.resources/icons/full/eview16/resource_persp.gif" tooltip="" closeable="true"> <persistedState key="memento" value="<?xml version="1.0" encoding="UTF-8"?>
<view CommonNavigator.LINKING_ENABLED="0" org.eclipse.cdt.ui.cview.groupincludes="false" org.eclipse.cdt.ui.cview.groupmacros="false" org.eclipse.cdt.ui.editor.CUChildren="true" org.eclipse.ui.navigator.resources.workingSets.showTopLevelWorkingSets="0"/>"/> <tags>View</tags> <tags>categoryTag:General</tags> - <tags>active</tags> - <tags>activeOnClose</tags> <menus xmi:id="_FSPasJeoEeaApNdtiKpSzA" elementId="org.eclipse.ui.navigator.ProjectExplorer"> <tags>ViewMenu</tags> <tags>menuContribution:menu</tags> @@ -167,7 +158,7 @@ <tags>categoryTag:General</tags> </sharedElements> <sharedElements xsi:type="basic:Part" xmi:id="_FMxr4peoEeaApNdtiKpSzA" elementId="org.eclipse.ui.views.ProblemView" contributionURI="bundleclass://org.eclipse.ui.workbench/org.eclipse.ui.internal.e4.compatibility.CompatibilityView" label="Problems" iconURI="platform:/plugin/org.eclipse.ui.ide/icons/full/eview16/problems_view.png" tooltip="" closeable="true"> - <persistedState key="memento" value="<?xml version="1.0" encoding="UTF-8"?>
<view PRIMARY_SORT_FIELD="org.eclipse.ui.ide.severityAndDescriptionField" categoryGroup="org.eclipse.ui.ide.severity" markerContentGenerator="org.eclipse.ui.ide.problemsGenerator" partName="Problems">
<columnWidths org.eclipse.ui.ide.locationField="105" org.eclipse.ui.ide.markerType="105" org.eclipse.ui.ide.pathField="140" org.eclipse.ui.ide.resourceField="105" org.eclipse.ui.ide.severityAndDescriptionField="350"/>
<visible IMemento.internal.id="org.eclipse.ui.ide.severityAndDescriptionField"/>
<visible IMemento.internal.id="org.eclipse.ui.ide.resourceField"/>
<visible IMemento.internal.id="org.eclipse.ui.ide.pathField"/>
<visible IMemento.internal.id="org.eclipse.ui.ide.locationField"/>
<visible IMemento.internal.id="org.eclipse.ui.ide.markerType"/>
</view>"/> + <persistedState key="memento" value="<?xml version="1.0" encoding="UTF-8"?>
<view PRIMARY_SORT_FIELD="org.eclipse.ui.ide.severityAndDescriptionField" categoryGroup="org.eclipse.ui.ide.severity" markerContentGenerator="org.eclipse.ui.ide.problemsGenerator" partName="Problems">
<expanded>
<category IMemento.internal.id="Warnings (1 item)"/>
</expanded>
<columnWidths org.eclipse.ui.ide.locationField="105" org.eclipse.ui.ide.markerType="105" org.eclipse.ui.ide.pathField="140" org.eclipse.ui.ide.resourceField="105" org.eclipse.ui.ide.severityAndDescriptionField="350"/>
<visible IMemento.internal.id="org.eclipse.ui.ide.severityAndDescriptionField"/>
<visible IMemento.internal.id="org.eclipse.ui.ide.resourceField"/>
<visible IMemento.internal.id="org.eclipse.ui.ide.pathField"/>
<visible IMemento.internal.id="org.eclipse.ui.ide.locationField"/>
<visible IMemento.internal.id="org.eclipse.ui.ide.markerType"/>
</view>"/> <tags>View</tags> <tags>categoryTag:General</tags> <menus xmi:id="_FqsW8JeoEeaApNdtiKpSzA" elementId="org.eclipse.ui.views.ProblemView"> @@ -208,6 +199,8 @@ <persistedState key="memento" value="<?xml version="1.0" encoding="UTF-8"?>
<view/>"/> <tags>View</tags> <tags>categoryTag:Xilinx</tags> + <tags>active</tags> + <tags>activeOnClose</tags> <menus xmi:id="_FsftwJeoEeaApNdtiKpSzA" elementId="com.xilinx.sdk.logger.SdkLogView"> <tags>ViewMenu</tags> <tags>menuContribution:menu</tags> @@ -225,8 +218,14 @@ <toolbar xmi:id="_FmCgcZeoEeaApNdtiKpSzA" elementId="com.xilinx.sdk.targetmanager.ui.TargetManagementView"/> </sharedElements> <sharedElements xsi:type="basic:Part" xmi:id="_FM_HQJeoEeaApNdtiKpSzA" elementId="com.xilinx.sdk.terminal.sdkterminal" contributionURI="bundleclass://org.eclipse.ui.workbench/org.eclipse.ui.internal.e4.compatibility.CompatibilityView" label="SDK Terminal" iconURI="platform:/plugin/com.xilinx.sdk.terminal/icons/console.gif" tooltip="" closeable="true"> + <persistedState key="memento" value="<?xml version="1.0" encoding="UTF-8"?>
<view/>"/> <tags>View</tags> <tags>categoryTag:Xilinx</tags> + <menus xmi:id="_fqLU4KJ2EeajAr8lRRDMiw" elementId="com.xilinx.sdk.terminal.sdkterminal"> + <tags>ViewMenu</tags> + <tags>menuContribution:menu</tags> + </menus> + <toolbar xmi:id="_fqLU4aJ2EeajAr8lRRDMiw" elementId="com.xilinx.sdk.terminal.sdkterminal" visible="false"/> </sharedElements> <sharedElements xsi:type="basic:Part" xmi:id="_FM_uUJeoEeaApNdtiKpSzA" elementId="org.eclipse.cdt.make.ui.views.MakeView" contributionURI="bundleclass://org.eclipse.ui.workbench/org.eclipse.ui.internal.e4.compatibility.CompatibilityView" label="Make Target" iconURI="platform:/plugin/org.eclipse.cdt.make.ui/icons/view16/make_target.gif" tooltip="" closeable="true"> <tags>View</tags> @@ -239,7 +238,7 @@ </children> <children xsi:type="menu:ToolBar" xmi:id="_E48w8JeoEeaApNdtiKpSzA" elementId="org.eclipse.ui.workbench.file"> <tags>Draggable</tags> - <children xsi:type="menu:HandledToolItem" xmi:id="_wuWyEKDKEean87_8gMI_Og" elementId="print" visible="false" iconURI="platform:/plugin/org.eclipse.ui/icons/full/etool16/print_edit.png" tooltip="Print" command="_ERfIaJeoEeaApNdtiKpSzA"/> + <children xsi:type="menu:HandledToolItem" xmi:id="_FIyEYKJ3Eeappc6uzgvn9Q" elementId="print" visible="false" iconURI="platform:/plugin/org.eclipse.ui/icons/full/etool16/print_edit.png" tooltip="Print" command="_ERfIaJeoEeaApNdtiKpSzA"/> </children> <children xsi:type="menu:ToolBar" xmi:id="_E49YAJeoEeaApNdtiKpSzA" elementId="additions" toBeRendered="false"> <tags>toolbarSeparator</tags> @@ -263,7 +262,7 @@ </children> <children xsi:type="menu:ToolBar" xmi:id="_E4-mIJeoEeaApNdtiKpSzA" elementId="org.eclipse.ui.workbench.navigate"> <tags>Draggable</tags> - <children xsi:type="menu:HandledToolItem" xmi:id="_wudfwKDKEean87_8gMI_Og" elementId="org.eclipse.ui.window.pinEditor" visible="false" iconURI="platform:/plugin/org.eclipse.ui/icons/full/etool16/pin_editor.png" tooltip="Pin Editor" type="Check" command="_ERcFBZeoEeaApNdtiKpSzA"/> + <children xsi:type="menu:HandledToolItem" xmi:id="_FI3j8KJ3Eeappc6uzgvn9Q" elementId="org.eclipse.ui.window.pinEditor" visible="false" iconURI="platform:/plugin/org.eclipse.ui/icons/full/etool16/pin_editor.png" tooltip="Pin Editor" type="Check" command="_ERcFBZeoEeaApNdtiKpSzA"/> </children> <children xsi:type="menu:ToolBar" xmi:id="_E4-mIZeoEeaApNdtiKpSzA" elementId="group.editor" toBeRendered="false"> <tags>toolbarSeparator</tags> @@ -297,11 +296,27 @@ <tags>Draggable</tags> </children> </trimBars> - <trimBars xmi:id="_EQQZQpeoEeaApNdtiKpSzA" elementId="org.eclipse.ui.trim.vertical1" contributorURI="platform:/plugin/org.eclipse.ui.workbench" side="Left"/> + <trimBars xmi:id="_EQQZQpeoEeaApNdtiKpSzA" elementId="org.eclipse.ui.trim.vertical1" contributorURI="platform:/plugin/org.eclipse.ui.workbench" toBeRendered="false" side="Left"> + <children xsi:type="menu:ToolControl" xmi:id="_gBLVEKJ1EeajAr8lRRDMiw" elementId="topLeft(org.eclipse.cdt.ui.CPerspective)" toBeRendered="false" contributionURI="bundleclass://org.eclipse.e4.ui.workbench.addons.swt/org.eclipse.e4.ui.workbench.addons.minmax.TrimStack"> + <tags>TrimStack</tags> + </children> + <children xsi:type="menu:ToolControl" xmi:id="_gBaloKJ1EeajAr8lRRDMiw" elementId="com.xilinx.sdk.targetmanager.ui.TargetManagementViewMStack(org.eclipse.cdt.ui.CPerspective)" toBeRendered="false" contributionURI="bundleclass://org.eclipse.e4.ui.workbench.addons.swt/org.eclipse.e4.ui.workbench.addons.minmax.TrimStack"> + <tags>TrimStack</tags> + </children> + </trimBars> <trimBars xmi:id="_EQQZQ5eoEeaApNdtiKpSzA" elementId="org.eclipse.ui.trim.vertical2" contributorURI="platform:/plugin/org.eclipse.ui.workbench" toBeRendered="false" side="Right"> <children xsi:type="menu:ToolControl" xmi:id="_GA53gJeoEeaApNdtiKpSzA" elementId="org.eclipse.ui.ide.perspectivestack(minimized)" toBeRendered="false" contributionURI="bundleclass://org.eclipse.e4.ui.workbench.addons.swt/org.eclipse.e4.ui.workbench.addons.minmax.TrimStack"> <tags>TrimStack</tags> </children> + <children xsi:type="menu:ToolControl" xmi:id="_gBjIgKJ1EeajAr8lRRDMiw" elementId="topRight(org.eclipse.cdt.ui.CPerspective)" toBeRendered="false" contributionURI="bundleclass://org.eclipse.e4.ui.workbench.addons.swt/org.eclipse.e4.ui.workbench.addons.minmax.TrimStack"> + <tags>TrimStack</tags> + </children> + <children xsi:type="menu:ToolControl" xmi:id="_gBuusKJ1EeajAr8lRRDMiw" elementId="bottom(org.eclipse.cdt.ui.CPerspective)" toBeRendered="false" contributionURI="bundleclass://org.eclipse.e4.ui.workbench.addons.swt/org.eclipse.e4.ui.workbench.addons.minmax.TrimStack"> + <tags>TrimStack</tags> + </children> + <children xsi:type="menu:ToolControl" xmi:id="_gB5t0KJ1EeajAr8lRRDMiw" elementId="org.eclipse.ui.editorss(org.eclipse.cdt.ui.CPerspective)" toBeRendered="false" contributionURI="bundleclass://org.eclipse.e4.ui.workbench.addons.swt/org.eclipse.e4.ui.workbench.addons.minmax.TrimStack"> + <tags>TrimStack</tags> + </children> </trimBars> </children> <bindingTables xmi:id="_EQQZRJeoEeaApNdtiKpSzA" contributorURI="platform:/plugin/org.eclipse.ui.workbench" bindingContext="_EQQZRZeoEeaApNdtiKpSzA"> diff --git a/FASEC_prototype.sdk2/.metadata/version.ini b/FASEC_prototype.sdk2/.metadata/version.ini index 64ad4643..592f13e6 100644 --- a/FASEC_prototype.sdk2/.metadata/version.ini +++ b/FASEC_prototype.sdk2/.metadata/version.ini @@ -1,3 +1,3 @@ -#Wed Nov 02 08:05:34 CET 2016 +#Fri Nov 04 11:11:37 CET 2016 org.eclipse.core.runtime=2 org.eclipse.platform=4.5.0.v20150603-2000 diff --git a/FASEC_prototype.sdk2/SDK.log b/FASEC_prototype.sdk2/SDK.log index 88e33bcd..7a55dd83 100644 --- a/FASEC_prototype.sdk2/SDK.log +++ b/FASEC_prototype.sdk2/SDK.log @@ -2142,3 +2142,157 @@ Reason: ERROR: [Common 17-39] 'hsi::open_sw_design' failed due to earlier errors 08:05:43 INFO : XSDB server has started successfully. 08:05:52 INFO : Processing command line option -hwspec /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.sdk2/system_design_wrapper.hdf. 08:05:54 INFO : Checking for hwspec changes in the project system_design_wrapper_hw_platform_0. +17:49:01 INFO : Launching XSDB server: xsdb -n -interactive /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.sdk2/temp_xsdb_launch_script.tcl +17:49:02 INFO : XSDB server has started successfully. +17:49:09 INFO : Processing command line option -hwspec /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.sdk2/system_design_wrapper.hdf. +17:49:10 INFO : Checking for hwspec changes in the project system_design_wrapper_hw_platform_0. +17:49:10 INFO : SDK has detected change in the last modified timestamps for source hardware specification file Source:1478191679000, Project:1478020632000 +17:49:10 INFO : The hardware specification for project 'system_design_wrapper_hw_platform_0' is different from /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.sdk2/system_design_wrapper.hdf. +17:49:10 INFO : Copied contents of /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.sdk2/system_design_wrapper.hdf into /system_design_wrapper_hw_platform_0/system.hdf. +17:49:17 INFO : Synchronizing projects in the workspace with the hardware platform specification changes. +17:49:18 ERROR : (XSDB Server)ERROR: [Hsi 55-1433] Error boost::filesystem::directory_iterator::construct: Permission denied: "/tmp/.esd-483" + +17:49:18 ERROR : (XSDB Server)ERROR: [Hsi 55-1433] Error boost::filesystem::directory_iterator::construct: Permission denied: "/tmp/systemd-private-f6fdbb2e19194308b58963bd22a13eaf-ntpd.service-YHpD0s" + +17:49:18 ERROR : (XSDB Server)ERROR: [Hsi 55-1433] Error boost::filesystem::directory_iterator::construct: Permission denied: "/tmp/systemd-private-f6fdbb2e19194308b58963bd22a13eaf-colord.service-SBYSOj" +ERROR: [Hsi 55-1433] Error boost::filesystem::directory_iterator::construct: Permission denied: "/tmp/systemd-private-f6fdbb2e19194308b58963bd22a13eaf-rtkit-daemon.service-05N4oo" + +17:49:18 ERROR : (XSDB Server)ERROR: [Hsi 55-1433] Error boost::filesystem::directory_iterator::construct: Permission denied: "/tmp/systemd-private-06188aed88844c8ca16e38f612c3d2b2-ntpd.service-GcLfav" + +17:49:18 ERROR : (XSDB Server)ERROR: [Hsi 55-1433] Error boost::filesystem::directory_iterator::construct: Permission denied: "/tmp/systemd-private-30baa8a09fe44c7e81d3c4551379caf1-ntpd.service-GlYC5l" + +17:49:18 ERROR : (XSDB Server)ERROR: [Hsi 55-1433] Error boost::filesystem::directory_iterator::construct: Permission denied: "/tmp/systemd-private-30baa8a09fe44c7e81d3c4551379caf1-colord.service-OrMFU2" + +17:49:18 ERROR : (XSDB Server)ERROR: [Hsi 55-1433] Error boost::filesystem::directory_iterator::construct: Permission denied: "/tmp/systemd-private-30baa8a09fe44c7e81d3c4551379caf1-rtkit-daemon.service-P2BMUk" + +17:49:18 ERROR : (XSDB Server)ERROR: [Hsi 55-1433] Error boost::filesystem::directory_iterator::construct: Permission denied: "/tmp/systemd-private-9ddcfc68a0e5432bab5de72ad81270d3-ntpd.service-0uaYVW" + +17:49:18 ERROR : (XSDB Server)ERROR: [Hsi 55-1433] Error boost::filesystem::directory_iterator::construct: Permission denied: "/tmp/systemd-private-9ddcfc68a0e5432bab5de72ad81270d3-colord.service-SIeBhJ" + +17:49:18 ERROR : (XSDB Server)ERROR: [Hsi 55-1433] Error boost::filesystem::directory_iterator::construct: Permission denied: "/tmp/systemd-private-9ddcfc68a0e5432bab5de72ad81270d3-rtkit-daemon.service-HTwTaV" + +17:49:18 ERROR : (XSDB Server)ERROR: [Hsi 55-1433] Error boost::filesystem::directory_iterator::construct: Permission denied: "/tmp/systemd-private-f2144cc8ac4c49668bcad2c4fa465d41-ntpd.service-EKQYiB" + +17:49:18 ERROR : (XSDB Server)ERROR: [Hsi 55-1433] Error boost::filesystem::directory_iterator::construct: Permission denied: "/tmp/systemd-private-f2144cc8ac4c49668bcad2c4fa465d41-colord.service-tGLpSF" +ERROR: [Hsi 55-1433] Error boost::filesystem::directory_iterator::construct: Permission denied: "/tmp/systemd-private-f2144cc8ac4c49668bcad2c4fa465d41-rtkit-daemon.service-nhbnMH" + +17:49:18 ERROR : (XSDB Server)ERROR: [Hsi 55-1433] Error boost::filesystem::directory_iterator::construct: Permission denied: "/tmp/YaST2-02265-EYRrmD" + +17:49:18 ERROR : (XSDB Server)ERROR: [Hsi 55-1433] Error boost::filesystem::directory_iterator::construct: Permission denied: "/tmp/YaST2-02265-pIzyq3" + +17:49:18 ERROR : (XSDB Server)ERROR: [Hsi 55-1433] Error boost::filesystem::directory_iterator::construct: Permission denied: "/tmp/systemd-private-45b1d89cb79d4e359ae516448cf1af6f-colord.service-vXRsAq" + +17:49:18 ERROR : (XSDB Server)ERROR: [Hsi 55-1433] Error boost::filesystem::directory_iterator::construct: Permission denied: "/tmp/systemd-private-45b1d89cb79d4e359ae516448cf1af6f-rtkit-daemon.service-M0Rck9" + +17:49:18 ERROR : (XSDB Server)ERROR: [Hsi 55-1433] Error boost::filesystem::directory_iterator::construct: Permission denied: "/tmp/systemd-private-45b1d89cb79d4e359ae516448cf1af6f-ntpd.service-PBsrV2" + +17:49:18 ERROR : Failed to call sync_sw_with_hw_change +Reason: ERROR: [Common 17-39] 'hsi::open_sw_design' failed due to earlier errors. + +17:49:20 ERROR : Error updating BSP project MSS files. +17:49:21 INFO : Updating hardware inferred compiler options for FASEC_Periph-test. +17:49:22 INFO : Updating hardware inferred compiler options for FASEC_SFP-Periph-test. +17:49:22 INFO : Clearing existing target manager status. +17:49:24 INFO : Workspace synchronized with the new hardware specification file. Cleaning dependent projects... +17:49:25 WARN : Linker script will not be updated automatically. Users need to update it manually. +17:50:11 ERROR : Unexpected error while parsing XMD response ï¿¿: com.google.gson.JsonSyntaxException: com.google.gson.stream.MalformedJsonException: invalid number or unquoted string near +11:00:03 INFO : Launching XSDB server: xsdb -n -interactive /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.sdk2/temp_xsdb_launch_script.tcl +11:00:04 INFO : XSDB server has started successfully. +11:00:05 INFO : Processing command line option -hwspec /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.sdk2/system_design_wrapper.hdf. +11:00:06 INFO : Checking for hwspec changes in the project system_design_wrapper_hw_platform_0. +11:00:09 INFO : SDK has detected change in the last modified timestamps for source hardware specification file Source:1478253450000, Project:1478191679000 +11:00:09 INFO : The hardware specification for project 'system_design_wrapper_hw_platform_0' is different from /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.sdk2/system_design_wrapper.hdf. +11:00:09 INFO : Copied contents of /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.sdk2/system_design_wrapper.hdf into /system_design_wrapper_hw_platform_0/system.hdf. +11:00:16 INFO : Synchronizing projects in the workspace with the hardware platform specification changes. +11:00:17 ERROR : (XSDB Server)ERROR: [Hsi 55-1433] Error boost::filesystem::directory_iterator::construct: Permission denied: "/tmp/.esd-483" + +11:00:17 ERROR : (XSDB Server)ERROR: [Hsi 55-1433] Error boost::filesystem::directory_iterator::construct: Permission denied: "/tmp/systemd-private-f6fdbb2e19194308b58963bd22a13eaf-ntpd.service-YHpD0s" + +11:00:17 ERROR : (XSDB Server)ERROR: [Hsi 55-1433] Error boost::filesystem::directory_iterator::construct: Permission denied: "/tmp/systemd-private-f6fdbb2e19194308b58963bd22a13eaf-colord.service-SBYSOj" + +11:00:17 ERROR : (XSDB Server)ERROR: [Hsi 55-1433] Error boost::filesystem::directory_iterator::construct: Permission denied: "/tmp/systemd-private-f6fdbb2e19194308b58963bd22a13eaf-rtkit-daemon.service-05N4oo" + +11:00:17 ERROR : (XSDB Server)ERROR: [Hsi 55-1433] Error boost::filesystem::directory_iterator::construct: Permission denied: "/tmp/systemd-private-06188aed88844c8ca16e38f612c3d2b2-ntpd.service-GcLfav" + +11:00:17 ERROR : (XSDB Server)ERROR: [Hsi 55-1433] Error boost::filesystem::directory_iterator::construct: Permission denied: "/tmp/systemd-private-30baa8a09fe44c7e81d3c4551379caf1-ntpd.service-GlYC5l" + +11:00:17 ERROR : (XSDB Server)ERROR: [Hsi 55-1433] Error boost::filesystem::directory_iterator::construct: Permission denied: "/tmp/systemd-private-30baa8a09fe44c7e81d3c4551379caf1-colord.service-OrMFU2" + +11:00:17 ERROR : (XSDB Server)ERROR: [Hsi 55-1433] Error boost::filesystem::directory_iterator::construct: Permission denied: "/tmp/systemd-private-30baa8a09fe44c7e81d3c4551379caf1-rtkit-daemon.service-P2BMUk" +ERROR: [Hsi 55-1433] Error boost::filesystem::directory_iterator::construct: Permission denied: "/tmp/systemd-private-9ddcfc68a0e5432bab5de72ad81270d3-ntpd.service-0uaYVW" +ERROR: [Hsi 55-1433] Error boost::filesystem::directory_iterator::construct: Permission denied: "/tmp/systemd-private-9ddcfc68a0e5432bab5de72ad81270d3-colord.service-SIeBhJ" +ERROR: [Hsi 55-1433] Error boost::filesystem::directory_iterator::construct: Permission denied: "/tmp/systemd-private-9ddcfc68a0e5432bab5de72ad81270d3-rtkit-daemon.service-HTwTaV" +ERROR: [Hsi 55-1433] Error boost::filesystem::directory_iterator::construct: Permission denied: "/tmp/systemd-private-f2144cc8ac4c49668bcad2c4fa465d41-ntpd.service-EKQYiB" +ERROR: [Hsi 55-1433] Error boost::filesystem::directory_iterator::construct: Permission denied: "/tmp/systemd-private-f2144cc8ac4c49668bcad2c4fa465d41-colord.service-tGLpSF" +ERROR: [Hsi 55-1433] Error boost::filesystem::directory_iterator::construct: Permission denied: "/tmp/systemd-private-f2144cc8ac4c49668bcad2c4fa465d41-rtkit-daemon.service-nhbnMH" +ERROR: [Hsi 55-1433] Error boost::filesystem::directory_iterator::construct: Permission denied: "/tmp/YaST2-02265-EYRrmD" +ERROR: [Hsi 55-1433] Error boost::filesystem::directory_iterator::construct: Permission denied: "/tmp/YaST2-02265-pIzyq3" + +11:00:17 ERROR : (XSDB Server)ERROR: [Hsi 55-1433] Error boost::filesystem::directory_iterator::construct: Permission denied: "/tmp/systemd-private-45b1d89cb79d4e359ae516448cf1af6f-colord.service-vXRsAq" + +11:00:17 ERROR : (XSDB Server)ERROR: [Hsi 55-1433] Error boost::filesystem::directory_iterator::construct: Permission denied: "/tmp/systemd-private-45b1d89cb79d4e359ae516448cf1af6f-rtkit-daemon.service-M0Rck9" + +11:00:17 ERROR : Failed to call sync_sw_with_hw_change +Reason: ERROR: [Common 17-39] 'hsi::open_sw_design' failed due to earlier errors. + +11:00:17 ERROR : Error updating BSP project MSS files. +11:00:18 INFO : Updating hardware inferred compiler options for FASEC_Periph-test. +11:00:19 INFO : Updating hardware inferred compiler options for FASEC_SFP-Periph-test. +11:00:19 INFO : Clearing existing target manager status. +11:00:21 INFO : Workspace synchronized with the new hardware specification file. Cleaning dependent projects... +11:00:22 WARN : Linker script will not be updated automatically. Users need to update it manually. +11:10:07 ERROR : Unexpected error while parsing XMD response ï¿¿: com.google.gson.JsonSyntaxException: com.google.gson.stream.MalformedJsonException: invalid number or unquoted string near +11:11:46 INFO : Launching XSDB server: xsdb -n -interactive /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.sdk2/temp_xsdb_launch_script.tcl +11:11:47 INFO : XSDB server has started successfully. +11:14:05 INFO : The new hardware specification file contains the same information as the current specification file. No changes were done to the workspace. +12:17:56 INFO : SDK has detected change in the last modified timestamps for source hardware specification file Source:1478257434000, Project:1478253450000 +12:17:56 INFO : Project system_design_wrapper_hw_platform_0's source hardware specification located at /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.sdk2/system_design_wrapper.hdf is now different from the local copy. + The local copy will be replaced with the source specification and your workspace will be updated. +12:17:59 INFO : Copied contents of /home/pieter/Development/projects/FIDS/FASEC_prototype/FASEC_prototype.sdk2/system_design_wrapper.hdf into /system_design_wrapper_hw_platform_0/system.hdf. +12:18:05 INFO : Synchronizing projects in the workspace with the hardware platform specification changes. +12:18:06 ERROR : (XSDB Server)ERROR: [Hsi 55-1433] Error boost::filesystem::directory_iterator::construct: Permission denied: "/tmp/.esd-483" + +12:18:06 ERROR : (XSDB Server)ERROR: [Hsi 55-1433] Error boost::filesystem::directory_iterator::construct: Permission denied: "/tmp/systemd-private-f6fdbb2e19194308b58963bd22a13eaf-ntpd.service-YHpD0s" + +12:18:06 ERROR : (XSDB Server)ERROR: [Hsi 55-1433] Error boost::filesystem::directory_iterator::construct: Permission denied: "/tmp/systemd-private-f6fdbb2e19194308b58963bd22a13eaf-colord.service-SBYSOj" + +12:18:06 ERROR : (XSDB Server)ERROR: [Hsi 55-1433] Error boost::filesystem::directory_iterator::construct: Permission denied: "/tmp/systemd-private-f6fdbb2e19194308b58963bd22a13eaf-rtkit-daemon.service-05N4oo" + +12:18:06 ERROR : (XSDB Server)ERROR: [Hsi 55-1433] Error boost::filesystem::directory_iterator::construct: Permission denied: "/tmp/systemd-private-06188aed88844c8ca16e38f612c3d2b2-ntpd.service-GcLfav" + +12:18:06 ERROR : (XSDB Server)ERROR: [Hsi 55-1433] Error boost::filesystem::directory_iterator::construct: Permission denied: "/tmp/systemd-private-30baa8a09fe44c7e81d3c4551379caf1-ntpd.service-GlYC5l" + +12:18:06 ERROR : (XSDB Server)ERROR: [Hsi 55-1433] Error boost::filesystem::directory_iterator::construct: Permission denied: "/tmp/systemd-private-30baa8a09fe44c7e81d3c4551379caf1-colord.service-OrMFU2" + +12:18:06 ERROR : (XSDB Server)ERROR: [Hsi 55-1433] Error boost::filesystem::directory_iterator::construct: Permission denied: "/tmp/systemd-private-30baa8a09fe44c7e81d3c4551379caf1-rtkit-daemon.service-P2BMUk" + +12:18:06 ERROR : (XSDB Server)ERROR: [Hsi 55-1433] Error boost::filesystem::directory_iterator::construct: Permission denied: "/tmp/systemd-private-9ddcfc68a0e5432bab5de72ad81270d3-ntpd.service-0uaYVW" + +12:18:06 ERROR : (XSDB Server)ERROR: [Hsi 55-1433] Error boost::filesystem::directory_iterator::construct: Permission denied: "/tmp/systemd-private-9ddcfc68a0e5432bab5de72ad81270d3-colord.service-SIeBhJ" + +12:18:06 ERROR : (XSDB Server)ERROR: [Hsi 55-1433] Error boost::filesystem::directory_iterator::construct: Permission denied: "/tmp/systemd-private-9ddcfc68a0e5432bab5de72ad81270d3-rtkit-daemon.service-HTwTaV" + +12:18:06 ERROR : (XSDB Server)ERROR: [Hsi 55-1433] Error boost::filesystem::directory_iterator::construct: Permission denied: "/tmp/systemd-private-f2144cc8ac4c49668bcad2c4fa465d41-ntpd.service-EKQYiB" + +12:18:06 ERROR : (XSDB Server)ERROR: [Hsi 55-1433] Error boost::filesystem::directory_iterator::construct: Permission denied: "/tmp/systemd-private-f2144cc8ac4c49668bcad2c4fa465d41-colord.service-tGLpSF" + +12:18:06 ERROR : (XSDB Server)ERROR: [Hsi 55-1433] Error boost::filesystem::directory_iterator::construct: Permission denied: "/tmp/systemd-private-f2144cc8ac4c49668bcad2c4fa465d41-rtkit-daemon.service-nhbnMH" + +12:18:06 ERROR : (XSDB Server)ERROR: [Hsi 55-1433] Error boost::filesystem::directory_iterator::construct: Permission denied: "/tmp/YaST2-02265-EYRrmD" + +12:18:06 ERROR : (XSDB Server)ERROR: [Hsi 55-1433] Error boost::filesystem::directory_iterator::construct: Permission denied: "/tmp/YaST2-02265-pIzyq3" + +12:18:06 ERROR : (XSDB Server)ERROR: [Hsi 55-1433] Error boost::filesystem::directory_iterator::construct: Permission denied: "/tmp/systemd-private-45b1d89cb79d4e359ae516448cf1af6f-colord.service-vXRsAq" + +12:18:06 ERROR : (XSDB Server)ERROR: [Hsi 55-1433] Error boost::filesystem::directory_iterator::construct: Permission denied: "/tmp/systemd-private-45b1d89cb79d4e359ae516448cf1af6f-rtkit-daemon.service-M0Rck9" + +12:18:06 ERROR : Failed to call sync_sw_with_hw_change +Reason: ERROR: [Common 17-39] 'hsi::open_sw_design' failed due to earlier errors. + +12:18:06 ERROR : Error updating BSP project MSS files. +12:18:07 INFO : Updating hardware inferred compiler options for FASEC_Periph-test. +12:18:08 INFO : Updating hardware inferred compiler options for FASEC_SFP-Periph-test. +12:18:08 INFO : Clearing existing target manager status. +12:18:10 INFO : Workspace synchronized with the new hardware specification file. Cleaning dependent projects... +12:18:11 WARN : Linker script will not be updated automatically. Users need to update it manually. +12:19:49 ERROR : Unexpected error while parsing XMD response ï¿¿: com.google.gson.JsonSyntaxException: com.google.gson.stream.MalformedJsonException: invalid number or unquoted string near diff --git a/FASEC_prototype.sdk2/standalone_bsp_1/ps7_cortexa9_0/lib/libxil.a b/FASEC_prototype.sdk2/standalone_bsp_1/ps7_cortexa9_0/lib/libxil.a index 96c47a4c7d439997bee46c9048ec0f0a2dcdf59d..3a131d0f60bcc491ae9bb88fc1276737bd824448 100644 GIT binary patch delta 2083 zcmZ8he{2**6wb^W`a`dHr<L8}si&S*px9b9oTesiq@)q!RV!fNEC&`4Q{ov-sG>0@ zO*oSi#A{d+X;Ewffr>V3nKqWt5+p^5f@rK3u@RKEsU}TCFL?Icot@X6?SJ=u^S$qV z@9o?i9uFKI54;o1t9&4GU!<b@_O8Wq?4L`Fdy7CbCl)7fQSpw)TJjW}zI(dX+|+Of zxSY<n1j#Fq4y|7AlA77EIzC6@!3@>R`SG$M6|dj25KYASfdtE!=Tk}0%~M;?;rY58 z)y=+bn-B|q)f=N2RNzR5|5nw%>1ZcI9A%mrJ{~Gmar~?IX7WT?i8=4bUqi~dF|eGL znblWM&oqrP-CQv3hOkU|7a{TM+$x<f2#{HdV$z9o4caBmL2_R4)Ob^f#N?*ZyAm2d ze<wLJ&+!y^sEVZKDmby6R9!QJb$)6Ec?s*gx5}?!eA`Im=MX0KYe~IZr1AdEWX}yV zCK`YFb@G~AkEPdn(RQ*5O+VbG^EXpuolGsxb34g)xnAMFuZ>h-$lE$J{^vf@@0b2I zK53GA^s{kgAL%Vo&hyTZVtLQf_W5&Ja)R=!Bczxps)aw3E=*~ujNvG&<x4J;5{zb- zHct?rPbq5qoAj!!E$d-L-xH%l^A&mZP4rW|INd9VwTciuhNA|iH9i)m{iU+N#@0p2 zpr4Jui_*Vbtn=R*=mr;SeB&zGDMvSI))0-CzDP4SJBAMN&`z3^i5=oX8y%C|;a15m zFh<if-*|Q}U8C0hw3o(ZC#P=ZM>JTVDs~*A1&UD{809^5t*SWKGs~m2kG6U|P7cyn zJzZj7&^k}tfiI~aS12Z&{F=7NPhtnNuG0wp20hM(srAu*p?f?;_#$nUNe!VmF-}w0 z%A`+Zt+h2@q4l1U;SBAiQel<o{NsP=Cmuyhjy{Tn@VG$XaU?{Sx;%K=L-+$w1IB+o zD0h47Mtcwz8UClJKmEZ`#*;<RJi91?gw;pq?Zt2r2@$PbcL_%kghL+1h7jyW!d4j8 zG}U}_7+yeD7+tvwK1V`~bb1klaQT8LsDK0#V#c=nA?6``55fQvBFy;1a2ZWS$z%;g z9#Iy+tpyyTyOS`f?z`}<S!KSrA&56aWLf$yv|=Y=d}%kNkPwR0`|#O~uBpaj-Qe?h zv>t(&dNcNC`@tj7k3$BZcHvoe2C|+eOTUK{KHJWGm!K2}7A5zLK^AYlP%N8*I{Cmk z_wis3mZ7Oo_!w(K4?(2zSrY~oe*@oKcEBl#7*pk-^Rw5pT%{OR5`WaP5oZ=b$am1N z-oYbAW0W<zT8&$eU!&7cYM*RiW6tX+iIvY_B5D6aoc-gmpV-JoJs!V5&xQ*B2Mz~& AMF0Q* delta 2080 zcmZuxYfKzf6wbY8cx>seU@0>zt6de46cK9MZ8aFFW=)%FRz#MBmk51fimr(UD=`|Y z7E>zVw2n!otwK@_h$SPOHiar!BR+#|s%=0^($)gOMq*kCAb4ly-ra%tZ@%xG^L^)@ zd**hGC3K7>G<u@5@6BHCTitm_duEjJxmN4UA+kQ6S$CVj1w_#s9<7NMn8nrfIlsO= z|4y(t+)zxKK=l??h@1lA){hjGMG2h4G?L3RoDnSR71b+wz1C@Xd=i2f9%p)r{%y_K zaNOwWc<qh_IOo+7(PQ2$i?2Tvzk=7BcuQ}qWXCD}UWXl*fB(Md$IMT>aM{b_Kc`T) zo-}<!_%ST&>2qO!17kZO<u^qNWK2&Wi!u^Pt2h!XQLx%WhU}WS#Y;-WkWA6xR5F-k z7ahnU^)5RR+Dvkm*olDx@<Nn(k89WIjlo9H&Xf^x6A!j9+q|bpU<uDq8-q#MQ$;>l z#x=~07hfT@4xXVM(}{wvJtQbpwcJuk!Po0aIoNV!oNpj|B*A1)f`YC4$vrN+)3uLC zPdq1(32zH)K3>Q=TXTYRyLls%Sxg}2ELkQtZIzP|RkP$Piqk_Rk?=f5%eeA4(k^b( zAhh!V$&c%<61SKy>1Wl|<0NjWUH`xhf@0u8|5s6B2z8avfm<RKZhR=2c8EP<ExOU= zrKiF~9WxnAp(oQs2_w|y(-}Cinf@SjCylIg0s6Nfo}OoCzmiY43Zid{5!(xCix>tY zWK4UWPTk6dP2&CrS|_?UiQo6rQEOa2tuG+SxYI*k25m&8F<RweT5J}ZAvX>mre&5N z&keRvPi!PZ#&_Ci?Ek3U-9@c+;zI3Ri-gin&^K&C3Vzc^cP+3g`I>ID8t2|$en;c2 zA#!5kC;F0gQ=E8hhz@cM^}||rl^5wjftx}Kre31Ed9!L$NXFA+v_8(<G~X)iSRWf- z^>rGERLFRKiXNxddDYGu1wWmkpNY8Puxp-f;@B9bf)^<~W>44@4TTZx##0V>R^W!9 zqBVOULyLcs#;ZqqC9HG8PV0XW4fQ%87qq-Y$l_hFB5Y2COEwW~Ru*9owAtfZy>Qfq zHFk<ztV)6B1Zf=Y`dx4`;;j=If6Rat3kDvW4Ixgj`6+l{4HVn4^FH`OV585m2jHr( zXbQRU+6M4FY~P;TH=$i57(F=NwihVq+y}|l-*3#r=*j;s>=qVA3s>HQy#hA`Wvo94 zpD*X5GUBD35EoYX>kNCP-s*;;$Py^{Zx75{FB0=$FOrPze)z{3UJJuDa}Z`0=J?OS zUh5_Iska|e@XyPT8lGNm3tOeEQJCQyZZ6v+ld#cxm3`*$+UCI@)-+#Bmn2n)X&All zi;*f9{98+1(#PVk33Kw%!JDPk{HzS(gkKuwe~fD29kb*#)z~}Ot(66&vT&w?7XnhL oa56HV$d^W~r_skM6)Y^3D(&%K%B3m0^7uAs#2)|S8EL@rAAuKroB#j- diff --git a/FASEC_prototype.sdk2/system_design_wrapper.hdf b/FASEC_prototype.sdk2/system_design_wrapper.hdf index ad3592cae130d44943c49a10ac8399e348d2c84f..91f1a0f95a84fa2a111523762c4f241f1f4fb2fb 100644 GIT binary patch delta 168287 zcmYIuV|Zmv&~1_v+qP}nwr$%^PHfxe#J0_eZBLv@GRcH@-tX#9KfAkYRafubyPoP* zwXs8dGfNCqmIHvJgMffQgRHxo%Oq+1X5s|{0fC1B0fGHD>gMBSZehvj?O=bSY2cP4 zj2@6M5VH9KV!E;h%0?Z_dT6KJ`fLLQny$G37N29ZZve`MCe2&n^Gkk@amQ_5pvNmS z)*BetF>97(xyYC-oO?R-*+{YV>sIRGeD)&+Bo2r|(W4GVFi5=xPO1fFywQzfFthZ4 z&nw+BYt=j0;a3a79m7ly!CHY8iQ4F;6Bw%~(+|@^O}AtntZ!{yVaq}$=ZRi2v(YT} zlo<FOeRp58+P!|a3mcT2|DX=0RC$6G9!GQnlO~)@S%099mwL_y#XK32WUZ1TNM`!U zB!F4atEthP<!Ds&Fqw>C+O|$%ZZ3C_kctjU0d(@F&5bGlQ4~Glh=_NIYJ-MmMB9s= z7{vh51D9JX9{huU*??cwwvF1dmTPTjCTQ-{A>Nd%wj?=$L(PruNbu^II@)z@0I}Z( zErIIKn6AXo)#o234a0H{O+K~?<qjj&4Fy83`mdg1_J#_Z4#}UL<F_p{)J)PbrHjW( z!$4X~cD$b+Zj8bEI3l-8&q0uYJM6;|RH=|evqb9(K=93yoV!{^yG3T+9dZ0c_=&Ca z$Hq86mUoxd&n6e-yifly%{CG(8;k0nID0>xC9v<z)>SReg@miPeZCpPTAX{PM{2uS zv}pR9w+r+A<Sq!_cc`B>yCgjso{8KQPsC#G=%Z_r0t3fzLSg{P9B$*t7-|D~uLNIL z1{`;PUAGFY`X<u{ct<p^kpA~6g8V<HsQw;xzSIZ=#M~>@7i<(5JNJXr|3kBeU>$ej zPPfjBYEHLhk6WNB;5y)mmkcqA2<%$u>raR7jex`so?)DA!G1q4-|NfEb0Jil;)_|s zt^l9+=bbOy2EH%gb>h#vXNNyD*38YNV}LTA-wo0pg@2%*pVu4i1^BUJhubedg4b(} z$Ac)<i@+TB&*F6;QR0WpJyH>j`op<y<#{2&lO)O?#R;VI76<68&^-$#Zob!ULwY9^ z1(sPNIWuTD(!*353^x_dnHyKD+3jOftI4f#(Yc>;3gd6Pu~VC%8<ZTl_-nbFB6D{J zhC}DZ^0=jPF6;>s<Sk>SX5keSraJr^ht}jtgYZpBR8y0{!coC#VL*mW@L5=k+v5Am zO<14GYa>{qSy)U0ixh|$df;}}>;Zb!6!~$H(zbKY=B;C^Jlz<};QZZpW0=41jx};T zy_Mffqi%TaZa}BcqH;34d>!GfqM0opf~_huN1Ng3iK&MlT#SF-w+J|R`lWX)m^ENa ziR*Azty2~lPtuLUySAIT>)KMV8+kl2=ZGgcpqPoF9k*3_*tlIVSTdbK)x0xWxaKRS zZ5r8$zc=4L<MVpz)3I|q%u65Kn2=AP9A{@7T&EHAL>-Zc*+=$qGiwT&Q@NL*H1U2) znkTdusq|v<<sLUC_ZywEXeN~<cui5B7dPr6u+0z{F~gC{+(d{zogPG|)ee5CdQv)K zMU4--6>1LN5U%aw?fK3Ogz0$n+qD@7?n~xu&d~bz!)VR<yfTLtxuGd>e!y=)n^e<^ z6hMem@`$Cm;;9q9eg;goWbw?j=nFa!63I(S2T@B=MkQB?(c8t5B0fvW1Z_mhD;|&T z@V@{bu|hrBuKf<V!-z?#E@O60rtu|=@nlkKB-x!wHD@-{sZJLpWWgDYWJh%i(hxG6 zP6o?mr<yY8%Wt$%17(Ti5>_Rrslh2Sg#LscnlIQ#V$ayr!|4pFMmFM5X1QWE?S3mu z!AwM;#yC^JQI{|(^cR==Lw8X9MQ$tgk1qpqT;y8AHmbv@b>>T;-wcV1qSTv%O01Mm zSy`6EGn<yUqbZcMCnZ`TMX`wsZqI>sVsG@Hfv->9lg$pM^9CxEbVrpho#5$CM>_kZ zAgS<8OU09@W_-O;9LlCMMR&9RogHVgEO~m6`%g>dAz{a(WM2Y~!sjUysM=m91#m%k za`e$O$+9%N)Zn!j#)~O-)zi}r$x3jVVcE3htvyVA3m0jX`0>S+=o6oFc<gvRf0dnn z826?W+7+(&*nQ^D9~yse{XtOe5p$(N$QGQR_P-$z-KKXpChR#!>b`CT5!#V{$+y4W zC8|c|dY9k^tl$lIorkaAO8(t{v;htZ)eH$$X^5>^_U||z!gH6M*EEdyLPuIULtZKL zUKv_}MK(J#%L8-$S^n}z=({^@<UaLIO(xcPU;lMhhBM!EI{tOvdG#Wh?~Fgl)3{y; zW?br{ilrINzEH%6{kKqzd{|_wbjX|i8j&g(7x`WRHW02HHd-71JvYS22?}W9b&>zl z^UrPd%&l91f|D@chago1i2&IT#lf(Vomn676>F*m5Gcu@U4?bSRYj403PbH>k-bQ* zoA|91B1Rl`aeXKYpZZ@yr8e#p7%J9tdnfnzD)lWq{`5oJA3=*}wl?yBdJtCR4F$7x zU<x81FXOWZo{i!nKn%9+=K|d^jGga6f5%SHT__!t>H^(2?fgDl0A&K;LT=AIMMOnQ z)&d|z;F>&1VecSI<wZrL!k}a%;Z&Nm)Y!x9G*xia5h>B(QUl@2fm|1g&GM2EVBw9W zh*>Tv3iK${VGkBtl@?&(&g;AMsb#7~$d@~EY|t2B;mF+KOUz}&ML=ZOIDv9#?k}c@ zR{_97C9EjxAe?JwLlJryj+-d=P{Cd**@*X9uMp}wa`#&wmXInv-D0#)YtHERypbw< z#VyE4#>N3@ZCPHCHWc%i&|9?5&)`y3Fa&TIjtXSxtu&uR7i7o-lb_`ukKG}ngdh;t z-jB$}F2S(){w9ooGT>+snKN@#&#FP^PC#&~Gc}8EPH4WsEb$Vt1z57ceGVu!{Y*Ry zJN)o>8n#+O2D+fLiTUv%P!_%dx*B^*hERbXNL|J=Rodl;V$EAsK(za`=A7okm&-I_ z-X~u6{Z#3WOj!Wj%s-Lx!3unNxxX}eh%vANnl{M{8C*+w0|g9RDQ<w$ypQC3=33Rt z6!KwZYN<ucOE&l>j|(^@(C{r#rSq*n&FtP9w#HibD}#Tlcw(suRT+$(rp}F|0*af( z-mdys2tebCC$tRquK?2MNutC7%7E^hek|dpl2^Wa8i-lraFI(SAyJ!S&n_PSot?fB zqCJbi8v@q}pchxZiXZRbL2mAYpFUELi1Qv%n^2TV`m4{J-N+e0Ta0JZ@Z_2}=mP{i zI(e!I7?FEQa9!0$vgSs(zAwsg&Q3g*e?JDRbO2$-i(2h`dFK;0D>RH#u$9s}5>;YO zwm>RTYMuXD34)0u?LeyDn24mLtlqO1KC%fQN7^t1QmZWCT8@L_n+ss-52L1?MXbnj z%MKyGddm=-N#yy7U_l&VUouV9ab}N%x0=CgDeKV;IfDXC;SUB&nhpTsA7I~Dt}uH7 z23d4@XXoXBuE<gf&Fn2C*1YT(YSwA)g$J(TIQgTnXAJF7*xD+1i3JAZ$qYS|6wxV+ zzh3n~Z})X4@&aX8QRfL!Xjp}SQX0Y{PxM5qlf^PE<L6)My+KC!?uK!Y{qej@46ktF ztbUC2gA%JMAe-c}B+>kOvV6L}sb_LYXUncFzHg|TQ$gKbQ4S*dbav5CVA+kWx<MpW z1Dcd%v8$p<>OU|=7Y!I}9Q<>48!)>j1jl57MUCmU!71+QfI|DGY^RKtF)uj|<qg?Y zVHw^Zpr){zAE_>cKVvr;zY&89?uxi+)!`_#b5xfKj(vJYE?uZ5L|oLX(S}=l6jL0J zH}~wA7icvai3%U=?^v;|(|M`KOoH~y5Xp|29ElhIo^W$fC%~qQ!tl<=&+59G32|Wq zt<!0#EgbLXY+4IKEFCh{Aa%<TWwY@hVt?7INs=ojt5;!-w;z8T_)bY4<+75x#FVMF zT~nd%Z@kCjgx0CUm3t?|z2rGu8%TlcRpZLK5c-=qSZJ;(z$9~zsTAu{i%9((N>5pp zfmwvyz!9g{xC}#fUzcG;^}5Gh<wKAJE~-FfTaOG`FfZ87fryd$5ez0H5E3giT>ui; zUpG-^z$(4!*dE&5pV-<+v?rR=<*~fU!M__a1-VM(jpT<6VOlXHBsH^4XAJx`@NTnG z(-1MRzA$7ZAPEq)IbFMg?IzeF#>Z%3qa|{Ra2CK7+|n1^6boV$(y;E8NFr^4%AUwp zR$>_$3g{g}QwVZDAQv~$1q9&U_N3?yNU1ED=sHW@UAck&-cWz-edA)FtdNlI8dGIO zyFQ9S5BqLE>C_3ig5_B|58aX9cI5)O-BNPwY_g1b$JHRIVITZ!A4xIv@p)Zx$cwE< zPB(}YB$XA%qX!@MT!><t(erG9d3eiWsTUhjHZAKi1bx+Ess(E@=!XVMp^k5HN-~oj zAk8G^Vo;QEO~Qis@DZ(pi1TU3to^TUW7tL_2ASCqq~Ha#Zg#3P1fUDxJVDCL)NMrI z)_%&FlO*oaAA&J-+8%*KXY?yVxXGP}l-f~f=~I_gn23kP#$zDETp>lkc_@2h_IzWc z7)2*+zmidtE^}BC<~9Pni{O`NUB&J<b&g&$Y$FqEB$5(kYgDnYdFzAcqeIz(8M(|& z->i<`rQZseJ<5D#L=zO1dmIAniE`^DVQ75U^0ft3hxCxPe_7!-9s2MA*h)w-xfayq zex^K#Pf*IubR#62%G1t3{o`q}5n>*Q<l*J6)YBkB6>1Kj{2IuNLoKsO4X~L+I+ncU zuIzM?l+E;C2mD%)8PThU#8$*Qglf^CYnSTP^c^-i56go|cFXKM6~QE0C@Qux0I6GN zNgo^vM4_J*6o0`ty;+U&+n~BPwU&Qsgxa6yjEE!%H0E1|M7l=<NgsSuQcQ}{Uem&# z?-H#s2oTD3f(=|`2+CCDWiJobf$1yx1Rrg3m$*W;WeMb5quS&xhid679D&+01}hto zxXcvo-R0`}Fq3MC5-|mD*;xopL2*_GGOI-@ItHU-hOk%QCkdpkFf4TsrE*sXuGY<h zOoSNszn2!tYD7PP@yaRG2rb7a3Tc+OD|p%>A8eUx(Y7Qm-sQGal3$q5)hdegghtB% z0TmeZc2x#xPNp;4gH#61&|kp?Rgkd%{yFk@CO3|IwY%%!f&d$X+ALVPtE#Icds>6E zlmfax<sKo5(&c*L6{MTgdcVkhimdc?sjQ1!D@nF5bFYYj$82$OSKtKdw=CvUuyh1z zhPqgG;b&sBd3Y({ap{UFZX=zoiVMQ0Zekgttm`6Yjk%}kza9IHpEB)7X)lU?cMWBE zz#qV2szok!Ycs*igfAacG1j52s``*KPHAN@Ta@5e%;iNJ({UsSNF0rOu$C~W-}05| zn7P>n9gyAuQ)2(G(bnXQGU0sG<)cfyB2=sCYb^O6`2Vbjq)p^BheAK|OpfNn*M|hu zEx1f4Y9GyJYa>wfS%1{DCKypF-7S>nbXp^PYUpC&wR_kQJQxnhUNH~FM^;xiw;7dt z)CS&Z*$@a?Vr4Fb|C<R+U;Cu3L)%LH0@BvScB}fX|Fw{AmanUgI@hw<-5hFI;=Ojc z{QFPR+HL(G{L|6JhCn}tg{Cgl=vzbgMT@D<?yGUB8*>lOowm;I^WS4<4Zr!$A628w ziUZ%EF{RGA*lSZw>+?_U8)HKz%DaVs<J9z-&sNkOfc(!O*PgYXhK^J}%7NWt{n89V zGF|yqVX%+S$(VoKC$04P$bJ+L|C>NaD=Ym;Q-$2f)DerJX3|BVF{7mCNOe)x?aEh? zT3DKzUlcr@KmJqo-&m1rB8l8l7Loh!A3?LA+;#dbzkXxeg&#RbuNX(qwx1<w{8{c2 zf#Ky<A?%bONp<P^4|@*$Kj7b84{Vv+W4_hRI|&jvDY>m@f}v12y`M7dDwcopuf^Nc z38TO1Pd!UeX}$1O!i_ff*nSwr(o*)<A36vZ6R5PSYN?Bz_LG^e8z7wiQxsF(xRcPG zP}shsxFF+k>M2hpB=tWl{(oy9YIYbKfQ9jYk0}SHZyLek&@Fz`&WY;~e4_`>wWt2~ z4X1CU+jbjV`F=pweoL<se9?BiYdB6AnUC*z4e)N3^KDk^PGfjAtBHJHnp5%bVfkDc z<zN41AL<si><N@%yeREaviq$?#YgWE8ZwYKZ_!*^BA@sVIhIcg^k@da$vgpTV->|x z3NJmI_3SnGGY&so`gZ5mdTthH0-o5^m7_hp;>E6YxJLJC96H&PLf}%J=OMgVcrR#j zfBAksF@6#H8ghA)HNK=knfjp9-nzd=UQ<HvSin(|xYL|4*kf?$pS(2q<xgQmO4s&h zA9U0`Ufa@Z>fF^0uQA08cYOdy)gFN?iDErFkH>u2{#&G`53d^MDifrB&@c&;iY{#| zHxm@VW<J{y2%VNwMf$E0ni3bjCTG3brM79!B$}Zy7;rR6YW>whVeUo!eZ2&RUk$v$ zvX}L*X!1o7@8Bs|)VIUu3Y~sBwe2mr@25)Kp(*A2Z){a@xc5Xtq3A%k>AlP%N$uI- zv($Z|A$Z&FpG8L$);-;NBLJ${Q%9a}oXnDpQ&n*1fs7JV)2{Ed=#{M(@sQn#o6FlP z%XU41dNJRREN&hp@WkPWGVj3owL|*{gcqJ5f<;O!KD#nx-&@OE0<k>tH?`3ssth!u zgD*77z`kJM<*U%E8b1*37a?<7B_GDb$|g!{;C0MakP3sHmRGZrQc_NHW4&NSbBE9v zxSs>h5Xh~Nafl6jN@iGfbmmANm4<+P?|14@$2s$JXD`pQ@4g#tTld!jj|bm2<N0Cc zIRSlfwZ7To{Rwi1Gyithm%({98EG6UxlzZ=+~M)rEy35l;y?fEl~zn0N-@KFq{p^P z%sl}$GP;uUU+~*;=rxb1+$ys^aL=5IE3WjZ@^khJXLuR={<?>&H_V8qen3E=N&$fM zjlv{-mneRnXUh-zDuuj%&rYlddOIAI(oCQXO3#|)3qT@dK?mc6xgIM*z+s$hQxwT@ zobc0d;@I!i1OkF3ut9As^v6sX>CR~vJ^A<}I|h~Y^rPNpTxxb#IvM;|dwNrZyLV9& z^469)!#d<fFsci0f*mSqY|61HOWta^A01Oy(~A>ayICNHvV@y>eGFEF@+2zu90GRc zP1;nYb7xb-VX~~>wxe=J@nEur?`Wx%b~}uh6$4;qfvuJsFT*b0fPU~<YgbQ@=+7cA z8oXcRiN(<R{THNv1Y`x>lktOJsCZ2G6HgE_S<VOjlJm^=OHbO(6uq~%v)r!hQ>Z9k zHjpAxMOp~z;q*K0O{qX$SXUIh;WUiv)ter09MXL4soVZ>4#g6ve#t)8G;G5+I+o;z z)NBKEKp9MEb;}-}AeX>dgLYLEeMrYdR;k(>iL>JJS?CU{?BuZ0*}fBDqlBwYRVq@n z;1KMe<)C=&K?-_<8H_~-Kk#InveMAMCCJ)qYZO6mEzH%}!N>R{c9=2niZ759$}kWA zkhe;kGO^}%U21Fjxvk2eCa}h$C6gw6nCx*|0S!D*9TSi7o7%Cm=kz`3ANTY<QvNLo zqqPgoV88*U+hq&h>beSTef^`*akz&n)j9|4nyfX3nGcajUd_{mTQ}i06OOyF7pd@; zWmNeLLbZhx)Zi;lp~wp>pRp$D=^w}RAH~FrVl#TxAif5^6L_MKL~UTnI~xIpRwMwF zmAl`Lg_U~=-~SBQB4`&XBuG-DPLWYK{qQD&nRNMm!TOe+6G0DyBe^-ztwzouMo|7` z3j0U);_0I$basXmI0Z$qMDy<?!L*!jnl`a``R*i9_s)za=}1st39o!~<q%Zpo7JKq zTWh`X)2u)jYrplX@FVBY0mB7QNmGGID-zj-ardtRgtA+g!fgIZp&Gn2)RniKN$1q= zJ$Pr@65;U()e0Zv@d%GADh5ho6$wO(%gjoz(*&c5Hda|wf#IKO8wZ(3_^<siF&NfW z*gX7O=nLM*Y2XbDdS6MD5j<;y-7uOo0W`4|l(UwZUI^>5kQ(K$<?@hwB@MvzY=kW) zh;wc6bOSh@kEa%QhS^o=Q<CRI8|^GQ;3?f%;-yAbP4G0<Tw$exNrEEnwX*c?sM1m~ zvl7872bKBdE6N<qV_3tE$VXOxn9q^cEkUgwD&}5O;t288n%gKRoufb{eb%_W`HDyI z4Z(d^i;5rmOXj`cbHaO{3>Q#CIyqv5-pl)$$&drTvOBdakzKzbm3Bt)sU?ZIs`eyf zNdI3f-u<Qb|6*}oVqCbVt50>L_pMJ0yl#kPmW*7e!-dDsMGtSm=TMl!#vi|e2zH|& z;w#YX>z98aF!4Aa4VRdMec3|2aMg|{ewphf4PC|X%ypR40dZ})cSo=BZ}Xmbo$bB; zF)mD;zxfl$n~2AfW&ksg<osRmVmM9>zJGT&ESvp{^AdNo_zGLBSXtrgnFhQ2Gk$&D zRu$SIH6yE3graVB87#jG>*SiB=i0_j7h*5P7s)4lKm}VUO-ZwyT&ix9K1PalmuI@7 z$bYzPg0I`V0WaQ48f4`7a21hyo4nf^Mm?Q<4A4gfHLH8FeTe71*e#+=EYjRVQ$Npo zAb;cBFdxz7E>ao8sI+wIGUvQ`V?53{zC7JtT>Sm}_uc|Rf`=*caU!zx@9yR5oNYj> zcb-$Crqcbo(76?B3h>+WPn`=Y=XXE1Uv~}BKp@1x6VSHn{r9C!f57t-GoQci&iLWi zdufy)(Tw-{ppa;&f&<0b;qO4t$4OoDYtEKu&o=$L;W1cPybXk@k*oxCz~u8&r)1Uh zwaL^_`+i5yDWJ7!D3G&QUh<S+%Ez0}PkR@5&i(xv@L3s>yJ`7l5uWeX?`UesexSMS z{ExH_0nWtAU+<pTmBgoudpUP;k@My<6+fEPvKTDnW^LVE2iA~6(Q}TVbxzN4uLO%= z-VHFcO9~rVZacT15!<@-3m*ma>p5zEQ}$_cmmx+<Uz;?AT#5vYk<I?L$P8O1k&NK> zfBP-)kD(m>Q=Gx9?+<llI5%?hXbXke&WQ&p3w-hPYym~*GIDa7t1Wl=eTJ~EWQvv4 zG~Hv+=>y}`f0uFiXxsEYJcRlHgsdg-gfis2HV8xe2o|eOhvllQ7o@sHA7IEU*;U#z z-nokqabmvlOWm`cjK+|I?EZdxdV(f!z0KUuc)^!7yZ^{MyoB6Z%5U)8eqZ(1DRj() z111PF9OLtDhu3&OKV{}RD>%E{n~u$oOvwS;4cA5<KJEMcL4>AA`1)dFov)w$$KaMx z5Igl$g2cumjlhV|Bk_o~8@QBNdv&(xu?`JN$aKf!Op2TlO24>w0)R2+ucs$!9i91u z_>Gk&^{@^;32q2l2(B3ZJ=u@N`TijSKyLL6+Cd1%;=*yqN9^3T1dY9$BILi}Xse(; zI`jiW@X`#mOpoi|^&RSuNGmPg-uewieu(a_3V$=XU{z4OAHyh8X$DQbM4%wvWcT*w zy>j2tubXl%&L}cZk0enZoj;#R{Cg4ZOy0)fs%&!{GCGto;88T}ed8@%GIMxrDJT)# zRfW{!CtTzEI2XB0a#4gq@&$_m9HJDL2B}+f^t2(IlR#6M2{166T!vLz2OPZ;k-|$8 z_b@Dr;9@{<Q*{Z5A$~V?L|~@^39x{Uuo2<dD+v}0zvA`TZvu7&B1TSkj~&zDNz!Li zNnoH%x!0>AHwpkhxnSEQMM(T*Lg-{XfAz|VTi9a2D4PKONGkcKtv05v0>BBe5_2PU z_*)*to|IxYUqo3>lXoq=l%X*ejB5&`+I+A6ey)$P!V@1w`Z0e_@I_gXFxvu0d<~-% zm`~atM7?-)>Ut<=c23Fj$k)G)Ja&xs+V8%l=XJt=-i}nngglt2cm16|qfwCfbrNYr zB-w#2bjc{3iggcim<IlX{UZJ^9U;m2{er+?S#h(R_b<Yt?putHOgU(1lOn*fv$N3L zsdwAqZbAel33tIL0wc)?onZnnQC5Qo-yA&VP?f7Xhsrw<)}I-O6tvdw8g{}15`kKh zS>|K9PN5do*wPP|u03Ty6=-JiQj$i8U|cmAPYEHYnu|pdUS=tM#U!$dzYM$A5}-Q_ zB<AB90OoxMPeDl=K+8@Pa@04B{Z-;8VGD2vRdi=nDz9v4py0F;9xek4XsHrZ&i-DC zz>=7fg_9`(3@Bc6n@z$SsX4gHg!z0p7}E2oldc%E*qoS?H3hXYc3^DHD%{e&nupA? zZ-++l?%AvLc<!mh0|fbJiA33zqiDsI8Gf+$KoXLN;oA>OjE(2F08vDa-2=NI_rl}h z^@$_%#V&xul$a%@a&th+Vik+UJQQU`a_aaqMb`tf@CC2N<6Fm=Vk(=YUAQ-w5f)@( zI_!{?XNjzR?N|j8I%GXdpEFA^IJmx3NQTHw(}YbX?-#LS!rIxQkuVCcR}wv2U1%%q zYA1V2`N|WRtYNG2wJ^@&4&hsJRXY@S-FU*}qyr3D>}>dPR(D|b0QJSiQiE(};ID3Z z6ZUlq`k&3e`WJI<dFUA3vSMjhE`dwAIez+g&)7w6*bl74*CXChqv%+S{ikmcrs-tn z@}`Nbk@<--dh<=ag+UN#W5?NbI_@|KIKrBxul0Lr`;|u=It!W~w(s6bDZgM=;bsz; zwO;#QOhBbvU_gMUiXb9?_@v3D1TR|K&Lo2a!D+M-Aqe>0dO<Puxc}Ux)#WaF7C^d- zuZ_yUY~)QP2zJqt@C)T2(MVse4XLUvC0}K^GAWUV;}29ngp{3nDJIAL8r4wk59dy3 zM_$S#U(q^JYp{s<Ii7L<DuMDxn&E^&bqH-Ui@R`^hG7<XtJPRJ-4p_LChM(H1MQbx z;+7kE?xX4b)b;l*EMuPlvQOsD%n9tKvpavr@!|!{H8t2KG!OE9*<~0#wFV?!_n0fD z3<-oMTN}m+6XODhP-}P_aL>s~IWo=Kl!ke5+Wc(~ICg^rj|{7L?cM^mA27Epv4NEB z2kb`dU+@71d@7i<bzMalpTMVJ-AadA884FqwT$OHUOJ*;8t*LX$&C(MnKM!MU>K0Q zbt)EiQ1)*w4-1uEUJl%ua~<=dunsnL%s^pr4}Z@Z9&Isxqi3jhN=;n>ZSRq69h(Q~ z$yKNt2##(fq~#&PA4%|)@K-1cCzK0?9zJGn!RLYFY+fKGq<LP@kV?aqxLnP824Ioo zcj;Z%;lcgR%Wxf7!`IWH!L+#dx(^ok!@fVz1TVxz5r|Fj)sm|BF1wP7qR`DPSEr^w z4SjV+?MEl8r9DR{$8lhDL?2bel;2g%Y+Oa~?2!t23o{algvBn&;Nr5eP7eUg*ZCBK zNdmwHkXvbCEL&ku{1i$#B-b=^P+ZqR9b<W8J5Vc#2wO>lV5+38nI#1nd4srlj7t5Q zxlQp@vu10+$d?F#oD=j=4Hr(ZIYhsO-}{Ma8BD%eK(OlOS7lQb6FrOau)H9}g>iWp zq<qLcqe5jE$srQny{}AXgS+1hEIub6v>kBMMppfyIpi4Kp@Zl+B~JPB3!U`zS>s@Q z7&NWGLeZPe;&Lk=`vSrAR2P6gGOGN5iWiR}b}*Xda*!5%fn?xnVSGIxgd4}Z9GFSD zxwi~lIVj(W-8)@*nSbx3eOFsJ|1Sqa=1s15hSpG4fPeHj{NW+>_pas+=}(CB4p4}% z^ZpH#8KhUmZK@=7?y}NrbKfsAPU5e4pnx*A%vbhY`VhT9FS9pl`$fPI*PPaaQ*Q~^ zgJGt-1+pV7!eKLV7~b(W+r!Zp*jRZx3;G#UaY}^2AJ*U)BVYRZp+p-6e{W2+TurBq zTP42TBw>-O@K`wgL=GH`;NjT4F(5P}_a|M&t~KU<;-IWgOo)3JiA|!S*OJ3>c$lDb zwJN3r^KE?3=U!v3?Ut(iKz<UgK6bAFu4i|^9oxEaDUI)UoYDxMxiNaC*{jm;!>eU8 z2;(yE1_4RV2$7Bc%XT5>Y1%FopZwc!t{WxMQPA%{V%S^`;Gi~%@kN9;Pe4*Iv0PD; z)znD_;pIJ6$pwHYp3(5+)250of5Z*qVsOO5v)PiJ7#ebvPiuK%BRf8~b&yu$d62F0 z55QRIFB5QD6GJ3)DS^_z8EZ*KQ;-UYv>~#-LNt}I7%#8|LR0s(a-E0boi<9Hzr;H& zlsYXWxN|U{on0lmFU{HO1%N_$f2bQ7eoCOpyK_Gr3YlG8k5IKwvpj$7!_bGZJ;;JX z*){&4zV3PSn~tP2G<A&3-w#EPn!fUai~u1&yY~a|<42wHs4N!OkjjuC^aaLL*eZ;a zLu}QAi+TI@Zx_6=801St=O+D0BI$#sP4IHJmPk+*Y8TZ_7HSG@J_O#|?wo8bWM0|s zGzWq0*CTKs8j4Lq%2AO!YtKRv#PBY2c9j_7gWh9lGuxgVO#7KTd~wT<U^d#oZODTB za4H(&05*Jr8pcPinTL8~?(FU5_cI#$jNe=#7ka+*1z(^3_Rar8LjNR9ia3RL#^67Q z|3&R0F91}{bXhFN_yUeWM(ZoXqY^?x8W0-ywm5Z?L7wK1MMX+xjfjawMjE!{*F=~Z zi&aa95J!fZDImJ02dy)>b5E`gMI%BDb!OItsu3VUhu{<B*}5jxgs$h{pIjcaAwoGg zWz~do@!}(c^YD6?PKneb?){HI2QPjSf!)0OlRGytw5vPt;Fq(oDya|Qp?)Mp3`u09 zn*pIKR7u^8*sXM{hd1;As!sxsZ9NKls5(-RNM<Cy0Q*xf+wY`OsKKI<5q9pM8UVv* zwKvHSVkx+}ZM|YQ>;mWt*DdpKnE8Kso23(CEhq*8M9S1&j2|lNy2bw)if{MNP(U39 z?0=g8{@X={2?e4tI4o+Gco}Jxi8W0~4rJ!&iSH<~OqKM!6r^a)Wvb>?qr_L0gVCVd zErL*38J>q9JHe5S&_A-E(ranQn9L9cwTh8$3!|(_c*KzgGk%j^dL<z>XKdGw^`yZ} z+M`zxLt0UE0KuwG-osKIlyff_Cv@ClGGQNx-_NPWrTotoEQFdhSuZG|BX}&)=7wPz z?zEn1uO?C<)aL^g0j9JTtixvFIl+;)#>w!5BQ`Dr<P@wXKW`J{H>Qa=_p&xMB;`#a zktSy&ay@ZKp)gC@brD*AQ52y(p9D#+C||X{B2x-@f^n5N$}bj`T$v2;^^O8AMeKp{ zl3#F5?6N~k^bm42;PmBH<>+<7R!T3Ru)7|jNMAd3;YThVSTPd@Jm`r%ffxEQswVD} zuVJ?AdxZUh9B%sVx~IhcdP4qsTrWQq3Touow1ouh33GW!%p22V8y9))*Yqk#M|x+H zI~Qw-I29G+P(*(cXB5asfBbnq%#{Q_h{$Z+3?E7bL*_<ODU6K4AY<CzpS*6!A6RE# zPT5;WE`~7>Sk%)_O=`Pj*U00g*-O5cK3t@<mMUZl<mH4T+lyw#Ah~PP3Mv~%zdCbf zMkAiNW?xKe5YC@0bsj%<n#Wn#CjHi~K&6q?5|B)QP0VnfKfTT}9LlKH@t+39ehnb! zc4AbmuWoOMnm<H<=+x9;9gEsO^n*0nl#z?u4}Xd7uyb5qGFT$!gjMY2Oi?<cT|t+C z#`{|#ay7Xk$MYuGCRUPseLpQfR5~97grE=KvkG2?0Z>j8s&9Z1xV3@Yw{LwcPmSS3 zEZG!i%};7Ng!igJ7f(-5$X`GtV4A(hRv)Q60(|#M=oep}H%5ud)9h$8Cj0?8j}Z@V zsY3HM1h+e;{j5_@@gO@81s1{JK*tpYmcXJaLVREHfcj@Tjw`Nq(VEt!FupQ+LL4M4 zcP=A(*&?_70g=aSz%C6T(PuTh6{rM%ew6?m&(EMdVsJf(<%y_L-ZLOJT-z@51XQx9 z&Id=*0O~2lt87~40iE2Q%QhZXH6r3ynqwgAEk->yFE`_OreV`O2et_LSj?KZYL$V3 z3-Jm)vkZdNO<QrJz7tDeDI!wo2*zm4qTQ{{`qj~rq`o2yV*J?WoZZ+JA_{9f2Vsfk zM`^3%i9**-E^(;_;uz4(CZ=ISHrLs*jL9A*80Hdw0o}D6WgmH!4uDR!xzZE^!>h3o zqDS^oYGFP-IfkrL{BGBdxWBUh!wd=^OoQ1hL=I)uS{!*YnYLsxF&;VBPd!wdT~ja> zbVVCnVc}P8WW_6NC71YT#jAQv8BPBY#B!8CU*qb=HM^)5Umh_0N0>tB?OLz`Qath+ zqE!>j<{`bDCu*CSI$B|zic|gJn_!giSw8bvHp@i(ci1dv@#RLnDyzop;=0oQMV zNQ?=hC^Y*0WWN;VgMA@J;!=^9-HRSIn13IK<?;GNi<IF-sApvyiu5@tO~m7%Uz!{9 zmZpff)M{GtmZt!RidB$PVicOG(=d}^rDaEuE45YuAs9i?!jvQ7yb&V!Fj8jGWQW@H zF63)v@Q8i^qr4hQt^5I5M@hfP#*3+9<%uY{S#zu76j#|WUC_59bu;({<t>sQOhU*A zI4xI-F)7k5ewr%(<mQRdM)dF`AyBaDRAwWI-(>Y(d5Z$xPexE0?ms<DR>YGO*z;Rb zX>q1s1WjnvuT;#3p#6;G@_9G$I~ws><sm+Jh#k+_#ycIfEz2Wzp8{z19AESzvXm)p z61yqLj;Gsk<Q*M|B)~(20XyAPONgZwutQ~%lhClAdlkZsm0NdWYwB0|%R(#3dwmni zLkh?{bNqp{M=TIZkq$E694{(zxW;6j(6;pujT>W^p~>a3KhfDbvkEfYkEgJC?JvCM zhuj&Zv4Y1|p1MZu959Cu=al)F@hBC1ky-MSI61ft>^JPaMD-S~nmDLaS{D(I*C5ke z>;SO!qmpePmSXYxlkLphVJ*dxbL@~$xSbHI*jvB|PDu5<s*HW&aYSRz72?{1(WhNV zn+_8Yt8Ej2Rm%p$h&T&rUbN*=0?tCsr1E%`P$YrCry^#up*){o+2Qr;%H3^p1r@p- z4WPbCOjZEzk4@OWTb3AD9XSC)X<RF3Bf!F5D?Qp{wIx+A6s{4lZH&d3W@D>aTe3X) zuPn&^2A+R88kV@C9f??Us$>Zs+l&%3k+`BDol~&c7Ah*0?Q&Xb5xY%H+!<xIgv9iO zkQ(=@A__;*`k_h1Y9uPXT?n>le&b*1rY%>5_(tp$i07JsvbB9ozG2YKZ`6iR8K<L( z!6p@5kcl%JP<*@}Ece#5?C-6?o=LdYd{IDz1x(k}>Ro}t%RdtF4seWkh3|c@(=EKc zV!9i-abTi;y@C2QwT39rl}WAK-~4|<2AQ*>r4gx|pTe1%?%}3&=aC$hg?knfcMrk0 z(6W|p19AOE6gvPmxlJSn_E0DZTasGFB2&l2@NW(m#;bkT5X4O!6ZKJBWCL(Wj{3mt z3%+R=@C_hb_W@2-9D94y^`$I)uE%zcXHiNZDjrqZ-euZtG663B58aT^g9)Nx87JeD z+R}rCUIIE<e6j5gF@+<T3NwEn(C+%b8<9u<%GxN!u-VKvM^#TGm)zAC!PsC75)^Sw zWO$oyr=wNJ-h<@zl%GA~ptVbs4UB=Y?QN5u=qn`LVVV(j87KgQ!`fViiEc5>*=>f( zgLpi~iF?dlztq9APd^Fo$fk)u1CFEdJ+Co&+fNS|hw*%WDT8mwJMKzjS4<d!#R&V| zf0(g>1OW&Tix4M3K;*2s!!ThUj}e2S$ZQ$vQz{X4?MbBNCE&<iHi{sU|78;v!lSyD zQg)BrNql&V#xf;5_xZ;h3k*7>YpL8Wb%!Tl9=zRZIXGF04vTWA7Uv$%$E)2^&JI$I z<UqZ*2eF66wJH<_7gdfFu1~IzF8Syq;s=xCH0YeqCT$GxM_2THbR%Tt4($hvdPgAP zVSB}y8FGW>KvzDZjdhzru~&c>QO4aXs?EPbHYXtgL0uhb{<`QmRq=7~E0T(kw)9t> zKEXa$o)`HeNe2Z23H&QZk_(N&ZGf=B6-49^Sw3NC=o^qk2T&z@P$dUYqiqmVg`{v> zX@+(Pd<9iJJv<pSog}jLQ~CpGaobWX)Z9%F(^?xqq)83}K1`oIhzn567$8-TuZtL- zK0wUUfKbVd?g?JpJsLr%AgWsh>7`o%UJ9iLKP6#|jrv$5d7O_0AH`e_Z?)OCui#T2 zB)yfS2OgGc&KFap>K3D{z#U$x=o`>kh4v&VDyMyFBjFTeq9X0L97po5xCdWh5Ehwu zRgN0$%rpTCLjqrYPXg5c^~!xY(&P6a{36s2RaykOT2HjPGa7}f7*)b|t^Fpu+`V(Z zf>H^6?IBo!Qe}pZGW0)Hnj@ofd4n2t8t)BX$8I;r$DfX#9UuF$t5MuNH{2e}Iu2G` zFEgVv%}c4eD4=<^<?SMMmN))wY)41@w;Q$nF(j%~6Z)yQKw?2cJV8f7%a^wA9|OK_ zrWLT((*ii-9z~&3F2`bzC+-W}b$@rY5aQ2ZNUaF?!(?xfKvAeI?aH$Mr<OeYYIWhY znk%}Hn*U#Ru?`__5#pE;pQ-?^uVg4lm!vh0chy@}(mwshug}E$=7rM0`uwd452<Lg zEXW?@fFu!^Afhzv{bSp>xE!s}A$h?!+L(3t%}j7&u7a8nXOML9`QfuJF_0^eR3;vZ zV0Pm!UbwQb+A>-!fsN?<>R)-HvClx>-B)pIF}}HrY8kk>%ajPr<Pj{mow>DyMRnXU zt%w=E77XlhC;k(}jK4rHu2lw`0vd#~_w^7&?=MK;UNaO_`}^SEyJf~OnTz|wZ~+q8 zkcRJ?uYtq^t*@F@^#(%jDP#gp^Dv!lJ2SpsYe=fTlD8NnQn5N);^m9;@Qo_3wZTeD zM`#b~qp>S>;<9%9kf0B#PFoY!Wti)7Aw)i>*-~nhjf^koUbh{+DSc27p|EehB&lD0 zXVKxnS79zN&$4~Q%Rf&j8_9yzH!h|jX3i&N1maAfc%!h^pxmg?*V2VkhlAh~%8*pZ z&l`A<hu|#Qt|^XFNjdqK#wo-_Q{pC23wW!zZ6hN&-Kyb1M}v@khZOya1X*-qAtMq5 zVR(3W@qZh+R1*M1&@FffCq%^%1cN%-b%uVxSg|E#A^TCEPVUG@7$`<+{}`la!Ssdm z+~A9V`g-l)H`m2rttEFt+0yKT4+v?8BXqzFb!FTKy2h6J{!aM<H!Hxt%aXs5<~2=L zDQ9v#+SeQf;TnfT%whs86rnr7pNN<C0-=AFb7sr3%iz{lsiw^}Rw6vPxi}$G+*kyN zK#77<BK?Hdh>Mt45G7>{c4gdF=9JVnyN3?$&G#E|+KHJA89J9*=z^Sg9=nF{@ZlTG z=gD!xBM%JM$()#eUaa%QRw8$(VyZ@~JBoQHr;QT6(Pr3o9}R_2%)vRP*|$4-5X`0p zg(=6LmtL61iHc=Werg6vKhfQD(4h)wC1p_P>$>7lrH3fs!3J&5^YoO&3VhJG3Nkg0 z%zrqtD*=a%8}Vidzr`C@BZjyuiYf6aN)Ss*gzVBN$buVv?<3h$+ASzNa$bgLgDsJh zGe}}{!m1&LzL@IDS3uTQ7c*{QP!jIB2y#t=d0Jf-Js>uLr+gG0Tx2iAfUySp5uhu; zFmMcR2`Ajt-2~;d)Des>F!DM#g+>9e_@bOQHbvkassXAgM@LA|V7eQoR#4!WQX<WV z8o4H5TZT}MZlGB~31(#_qq<P;<PILlVtSWBh9{mZ;4#5p>(MZ+;b%TfElQer0E+p; z{4Q?KlaN6^w}<g$IIz1}iDTeOGD6H?c49`2jWW2R|2?=)v!-YOdAcoxH9is<|JQzo zpS7~OGjUl$=z}X{d%DsINUJK7w@hhJ>-df&ICyON{YiTg8XWw{ckmnIHVUys8>3+c zaZ!1fryRoI^m5WANtB41j&$lm=DlM!0L_>o9)!6wn?ywT>v%Z0?*o`XBdkiB?*z0( z61J<88S=0Pb|N_@(;Lg70qPeuE1kju!5w(O!6Q$Rp&S3K?8+l+2O&WH<I=$?)shA! zdD#s^Q5XL3Ch?IlJkp#~hfSI|K_s9h?@Uh?M%h})BM*+kO(9jQsMoN-Fv61+sTY(g zr}&I=_$2aWLa!*yVgn05hCfl+nWhS^^84-j)$D=`tYJoyZgw>r)*-o+F2Octr!oY7 ztfZn5n;lGG{9J(VhOCQA4;BAGb9_{Yj`^wyC->vd1s3i#fIxGyy1B@LkKUU`B5wb> z(HM7)0FJzWCs}xWkU-{)1ctB8d{41a3XsX-HmA63oRm8X2MhGvF|X(l$Qez^7hMk6 zWZ=5m>~ob(G1usKmA_9LR(ex`(CeB^Kn_C7ok3@Hw~bel#gM3qIbjpmFi(7|YF0wi zhRX`bA4JfA0joeo^ZY0?oRB72G;mpBGA~=O_C?+(X<~Nazx4AK2wtD{e%!s>ZiZY> zOk0aWECXjpwgqMcK^!w=nK&o$#y21`xZeq>T<lT1pv|1P;z|hLF~mHdCmOB3R8ZS5 z+!;QqZ0y-x5FHMpaYw~Tu_=;@F;x~IQD||Jj(}#TPcj!1h)2YJIg*M&RmV-%wB2%Z zF@#4v?}=Djs~%9g&&XV4lrKyuii(9oa1|%!hY7Z$zX3;OtgR6bDW9TK7pO8s3wdD> z;u&_r1k<7G_)3)+Bm!^9SzAw>(f+oDPB#4O9+UsZ(@Ngwj`|o^J?T&+9q_>TTNgU% z#313=8Eyh{YrPdTWDyPN+%s5qh#l7@W!CI0FT@G@#Q@<2H-$UTf@AEA>#|){dx)6< z+F4WG1B9>~3_6Xn5^XuvZCD21Y~_x$MCjOnA7nV47q^mh<&&~P=(zu{>j}4jJCA~E zJUQ>Yi;NJ2X1YJ$?%VS_LN5vOp#E{2LLTrt!?Xv&7U5hPftfqblU8$?$f3iy?hwBY z6cVaQ?IEXqL!rYPVUQpmpLrt|VbD`@&pj5n2C}1JuhUv_wG`A_zTw!UyUe2rfZtqP z>&%M|1`O)T-e6X7<O4VcjhQ@XWtR&#v#IB(N%q_kmq9}NR;sZTj4tKlEGgUEk{}TT zuFDlAtlw07B&-$Ap%Iuj<Q(vdICjC<LA*SUnn4uSb2rDW=H&e?o8dsMwTdkcvjc$z zpxDyhE;l3fmXyM5Dcd}PfHzjOb;A}ll$`dRI{7e5QZ8Y>1TJIeWW2$f@t$;;#kdpC zgoU8~)SZ8e1AEz6a*}uENp$51OMY$f1nDJsQOf?ON7`;Gl-;3A%_Zl{+v<p&tSf({ zHG^M%IfD<Q`qxZ;^vXXqh%36l-@*!dx9YVOOkR|l|KZf_ro=e@;TCiHce1VLq#e(V z>nM=cT2B?n?A~1Y#_Sl*z5l)6I<xi%-5DA~fc1Lk7=s}I@_Mc5SiaY?6#(HZH3S+u z69niiKgbT6lXpIky4heb1vtwMv7zK<AIy_%H|b469%O`AiE^?5hw{zZ%{sCW1{olJ zj@ny>@Ig6DIEvr}>A@DjY|VmuC2S?^mT-b}pzqMw@_8m~frQRh)6KN9xF9xWi1LNo zPt23x88>2WaT@Z?kJ?#M-r_fc0(7c9M$|#t@vr1NEh*dGdOhhA*PrNjIJNnv!0jk= zQG-0=Rzbu(YoAkr)gX>sTU6QF|Ls&6;ev0<0q;kCXSglME$>0IvVxZ@z-Q)g9^6)P z<OaVozjMq6#F6p^&E|cEX~nwF3#0Fk8w^+O!5%yNX8|H)0g+JxZbF0sgA@Bh&pj^0 zzy*%8VBj~e-pWCs{moHe`{(cNudnEig_rn(g^T*)9#`OJhw3+J)D-Z*6`Q#7J6?$4 zi{=6(Y8-gq>{j=H_f9vSjVuA|4BeyXML|b;F5IrvAlq>q$=$!7=zcxEeE44b&JBPU z;tDIw9sXH&aenjhmAHRfaG+(hoH)FV_Yt)i1&l-C8pdY%n@vI~1TYP$bHV>~{=GkN zV<;-#>Ic*zc6-IT4+nyhe?bVp()GOWb3So#J#jrSy()ab1)_b2?2j4+?~|+NIAN!s zZGV3ui2rry$y#*M1rZJ`$8cGR;kE^pda7BzfJ({_L_M?v6*TwxYJ7EI*`7;s`pwlS z_67NL;xs5|^zr8d91O$?eFo-(Rm2Ny;IlDn<Qu4!IDJS6g{<-CVE9N%uyW$7imlx1 zAmQtw1NWUQ!0N`-|5x~vYPX9TEMl(BOts_bpb1+%I)bs@*&O$S2mBZR1UY(vmBzBd zP{J`K(s<+gL%OorhNqYL?=S6`E4yGjvn5fZ*a(t)53al?NS5Qvb~3Qz1=(5OD&#fK zDrDe)&01g;w8eI@sPR>?ZJ4K6IMbPS94Z7GAu+5aKPUREsW!I2F-o!XS!l3x`*F~S z)Ah!E7jbN~=n(xlXtho}04#@(Wx8^XTYC=5f2Q`cYxfRvu#RYeyWx->t*Ai2y2lC0 zj<WzJ;qY0QFfvQlj=NT-TT%_}ojmyg2t)O7oGGS+V-Mr}P!n(I`~de~V;5)XJmJqh zd!R^vsHkvoFtv$v|KZC06t-~i=g;j^+gmoWwFO22kkC&gNXa8NJmh8~lFHlJw+}9| zC6eOY`#qpXPcSU@)YGE_v5+(I@zk?NcR&0oc70)?l-u!_r(js0OG&6i?=N6N-PI=w zKRgWS2wlAhdeOjym&D%zTaMp%xaMW^h(%7u1AZ(#d?0!f3i$*Je=IUX4Vm)%!4{Eh z1Mhyvd_mg3`wndmtv{Rk_$ia+3WF!hrVgRGg!)Ci+$=gv``JMIm-kmcM+}YPwFeLH zUNY+6_6xg}pECOAIE_?B;#>f0B0`2bkZLrbx7#d^HcOkE`h-$g5n!PxO%LVM;2c}g zPv4+eKKp3A(C~16aEeU$SE+8)rqSWj`%Ks@fNJHNmARt9ny~dsosxK(6vFeiu$08* zG21DW>kC9A`eCFYZ-my~k7kG0_5{r2bgJ9%)UQ1DXZY?Z($p8XNO1s}8+xR1J5%+f z4_OQ81)>?Z$-MUjCc+GF&l9h193g9-T{;ItVX&lVO=H(6LsndLrOSVly#L6!Y*nu& z1}UYx?JxT+WJ0#CKjiAq-84D}XD*c=p_Cwi>LXVL60hv^OIALtIRu~fgm4xHeLtAq zDM4h41C6yWGS<m&rAHVjE_EwVO<=J?VuXw^ZOKyA2PaaLzm=H_yMie0ezKRj#W98V zgMgE>-O=(z%b87GI^Shoiyvme1Tkxqw?HktMqrDFOu4>xR|(C3r3fnbyoe9pH+4_y zg3s4;;V$s{kC?xF_Em5%@v+hbnoAChpY(!uVAch22?i~;@5L0DIBn6d$5gwW3b#mR zXgtxlS%SyBl>EB&zEKxhYU8U%ik-yltFsXSuwTaaMD{K8y=N5`c=|{*9Dw?h!UkF{ zlSkhv=TA?;;-?d?G&6VkOAg%z!s7t5v%sN$?pEtGzL0pfEte77JH6X`FOzYeq;4;` znTW5&cmc!q$g%~P$Iu_Uhl(E=ph>|AyDx(R-z_R(|EE0asvoOt7cU3uIb=x&-!2(U zt17~ZnvsqSN30wT+{G1T)h}uZ9~^<J2s)I(uSvGZuUoOVxDh#|TojP)Agdz=y8@Q@ zy=i#`eoC4_yZXD=sx7S~JtkfChoU*!h5u!#QybqiO57N*GU`Wb=G@%60!=~29C^0y zTPdgc_fDJGz50*5_ldtm6yOx<T+T^_h6(&a7oTvpJ3Ai#&E?))?$0c_lj&vF&*W&r ztE6xF?0vU(Gur5?@Tb#S-7Q~sm)S@>{1a5IOkX(_Zu6pv#TZg6cjQD4&1j;T^qN?w z_!V(f{lB>S=IA=Uu-(|U8r!yQyRmI+Vm6I!vyB_uX2Zs5Y^TvpfBn9-?!AAU^_-Wp zXP=pK*1TuG&jwVvrb~{YHoQ}+H3&Pd)Q5B>F4ZftC++HH_^zm@a8&yfXb;-Re=GBA z&>nbSjJ2M7p;KSGA-Yg!!fD)m;c}FPcg`nd!+&e)yw{+)B9c%qaIwgGvU@A(fKjf@ z?eZ@vZ22b2o>I9lsNZOnGH!M*X~ESUm#;kFF6u2<SOKrj0tiy(t~SYE?<Yx!Z~)qE z1@RC>kGUQZ_T1Z!3oCixx`xv+0ihC-^a8z^9D^%x1_gsIDLzMUT)a-PG%#h$oJd-f z-`46fwO(6StFuEmG8n(-e8mxfGT7cr7f5p%p`IOVoxj2h`L2x4Rg&E;hq2wB{X=yN z?q@B{ZlgviB$caC34_M5vElyKJ}FT7fKtK(gI6*BHD`L9+dzUGb9FfOd7E}3(Jv?2 z1uagRc0mUA;IdBfW2FMM1YG_@*okIZVTCe-@=T+@3ykonz!d4AFpNgH$;S*~f;($R zMJN0=d3BkK#ZXAebe8ABy3p~Owdr7rtON(5&tz@rQkEOYqa(vUS17j<cLQ#S_&-D% zk^3kQ<Q@ml9_|R%#3-oD32o?&{Qos^gOpf}EBLW^!>3d~-c5kwX4lN{Y3+|}iIn{2 zf4S}uD|ocGcIDTB-EN#orLlI`Rzzh!Pi_j=La)%`>;p}uO^a)+LoS~5C$^V~$1|@* z)JL6w(k!>zipEn^`RWH?3E0ny_54f@_2-S;kT?IzYJjt!-Fm1%HT#=Os<5>?m=i@w z?h*M{ZjNbzq}R>e{geoaiWHpBql6|AjDA~^Pb9Xr)deiQNPphZh4g_x+Kjo*qAo`& zQ+qu77DAF^feyut(Sr31fR^WSx7D9ruE{L8y$B1Y{My6fw|ox-J5An6`FdLQ`Umbx zmb!yer(<X?Xp^#^GZhXN<yd{9?hDmxs>*Y-2QtklAzr`QHAAU$tmkf}i{Rpy7^) zP?IekA9>A_Fvh|xiuOl;TmXN}-PutQvM=vsP@&yTREX@Mu#+XfCk~;(iYUsfL!7j_ z>4B$7{SW>UWt~ev9NLUPxJLFy2E+*G><|g246@hcJHxyvUVzx!>k~V18YxqUM;ZV( zrT)?Z^`1XcLI-kWu#ltY{%Y)rcI`aj_hmhK3du*TCI3i4Qjp#Dqlq>5Q%Ci=)=66b z({6wJPdu<cYb$<FNEfVKwgjb$#>{YpUZz1xbGQ-ydM9xJqpy7p;L)Q?6I&w)eH$Y0 zOWvEbR|JCPs`5CRg3V634aP{jM|@b`D?^1y!yQnBksr%Ix3_f}i^hM9NHW;dwICAg zk96(S<H<{XITb(%_ox^}+6FKV_I9b0lB5A8IG0%v+&LyPeEtBrVmY@gYlh{XqNhi$ zxgckTr58Z57Lc2Oq-<SsxuFbOGC~RSq!5`~j3X&ivfn56g*Z$}?<#fLS(M1dTlLW( z@vRFAQ<IOoBb=AN#h0y>m(Po=ng^OAsUUP~SAsQJ1>nUhGPy7~HRC!CHFZL9LSct2 z`(>3&{%5=3hgx9BxzQeggwAmF(Qb}|w}ebZ69FVbBXFzrCq5~tOGvx*X80!IMX_6+ z1>PY*c#iL_*msrEZOti%&h)?wE`vb()Bm&>0JEa^sv%v<g-V(w7Du$ArW>gIiJ}KX zwG;PU?DBcOmzn<|yh2<-GG;Ga5=KHI4c#iB=TZF#)iKET&D#--3FB6>pE(dsx*%&8 zq7Y~wNS;z2>{KE$;0jztP-I^*&^6J5aXWk1Qh?wn-@lHdCixRv#zC9pFo6a(;wOv$ zU`!+ZC=ISCns_?pCTyIo#!m4xBOeGFo`;DJZa^VZKRXRJpOcA}X*ZGhvLhwS{VUTP zr}UYFg8o){DsU%N0oDr%b4teGNmBt<&K4L>nlZC14IRi)Mq?E4H5*zAvR8sT_G}7m z867<gKlfYk1G5`?1mTo%H;mboxKL{buh|f{HF$Bn>w{*J7FeI|lAFTaSpRO2>fnr6 zkAV!);hMS-;da_H9IxPs5a9qVq#6hIG&sS%<)8<Pf5Bkd_rmtcs(w(uXH4}J_W%HA z-(p*~q!b>Nf~|b6{}jNO0+XjZIg%QBQNhxyi)`;>Y&^E<-q$<QAHo|BS8A#bJ;6(z z9^#&{9$_|KO&gD=2yNFJ(#5&#(maoEaDAuRBu&P?*ktUAXKEzl1PLdHq{XY)m!(Bk zk8OS}l0Ku_V-#iav6h`tU9U_>z6w~92@62P^dwi54UdtH>Nv5Uxl>D4FWP^?66OrG zJM7t*(<U|%XTR+GvF2C3GmRPI2U+Dkca3hpLs38|Ix}83k?aO?I4J}U;xXR?61<R( z|I)SD8Ty!RD{szA%~`d?N!$l#hd`|TJBo6k=UF_0sZ+s<ocD)ZxHAIS;60!!6k5a{ zR?!oT<{=4={JD|k-i^00>EVkNi^rIwl>>wq_IIz?opCV7*%V=h&nunfKne!@vuae> zoda6xcsk~N4jMGwbn1@LCXI7#fEcUJp_UB-bEq~swS-8w+D`tYy&293myMU52I+4Q zbQ&4g<XCIQ7A*GDL~BdbRuJG;t_eZVJ=}$Sj}MXHR<e@-`bjaC%;u0-qOWVAN0k`y z%sfA+NEpxOz%aJIj4oUq=T8nEbh{(t;79u1%lY<UuP!kg#G3#cg46r@Y-9m~)5IDC z$XD6Pu<2BFT%<VvilDGx&PlsHHSEmsVY**IyH!mizmv#1*D`U`?g4V54qMhnBWa;} z8fqp+SE2Gqe^SuI+?J%y#oe`%4pYAnz|+NRU8CtMB}DaisqGkX@tINoJx9nBy!M;? z0aShJ__~5`u^lCdRmUj=@w)D~-Y=rClZA@7{I9Z6K@tV52)A?`P`^sYuswC3vwHIL z45f2>uf+BqZq2|GfwR6k0>6FV{&5Gy96K(UDQ9OszR1iHhg47KCRdn{qdG6XFI_#; z<(tEK+SQ){9)~8G-W3tVHPo`8OE$PrA&Nl8t?3|Toh$~VZ~aRmk+dPMjsRQ`&kOqI z_0`dM!E71(D@m?s?x#!4qkJf^d3IO_2dhHzL$QZ-au9Sippi`7aI}*22uw|bW1%v5 zF7#cea;%wBwL4v1r3;f|zETET=WTi}+UI+dQ$0l!I_PiPij+lFkMANbSJ0KmuPQ@o zopD4IyKe~1d@ue^VJ9g36^zQHmSoVf8EKFS;;TxE<Yl_4=ppVwwn0Zw7%&$!5A6%n z-DU6P`tr~Iz;Qxc@oxo_rk9_0QeH=hi9(jplVWaEFO%#P)cy+RryvBhb)<h}*$6sA zE<U-hIx%5x_>dX)302TZjun%`<xc7_9q>u#nz<|~UCedgA@Rhd)<675n1KH05S=;h zu;FhP#N*al+WPs?`t0n@&5f~MtUG)6=gu~rZ*c%t+|AkSG3?u)gBN;*vy08CE{^`8 zO>9dg&%31NnyqIo(&-4Lm^H6fF92h9|7D<T<b|wpOZ^VCv=f~Ar%{aQ7z{->e<bk4 zUCPvp08c@nV>m>$7-gmzj>>E2B>0ZHITphHf-^m~D+|YPNL@zQ2g^smZCaw)c#M7h z=Lq-}LOO02CeHdhXWRQ%*B=xEUx9M|nIbU|W|2crtFO#Z@{<$E`#f75>H{Xx;@HfO z{C0*<MaCC~)%QkVH8RIwyPYWV^`eJpx4!lyTsq~GBAv$2i;dv6D?y*uf^wFFa#n*n ze}Ma%Lf<dKRU2DUAo9^!FYCzkPs!qWXwC`NHYA4Uq_Ce>7yplKRYzuiN;dPu*4U6( z`e8b&F1EI$Q1}S@XVU#J)i)%j=A<;9CLzgVC5g$SB?-%;CK<}(CfUft0cw17JWUOW z{{Za=K*qNgvjK@=Xk#BygqY1kkTON^HQEwM$})(DAO~vS$&E0*e>TeKLlOL;fE#kM zqx!H?h45sTbk<I0^9acK8n5xV`lR0Xak}l_(w~LdAALEh6Uh0`K|kli;l1zP{loGK zzqXPA%s1RJ**RJ&PS17MuII1_{ODwh_^S%}r(($cFM!kgU%)=@yy-K)EB8kZ51)Uk zlZ^jTH2?E|{pauDH*~w4I!!S3kyRn@zZ5_G|M@@u^FOhak2`yv0Ji^*<Ml&#!=#;M z>>Pl-oU;k(&Wn$r1RuhSIfe6O3euFh3PD=AjIB34Qv-^;eBRqgev%d(?XRQ9foIVA zlfIGXzqk)^){iY`b2jwjBt8R$G#`U<c!fRoxRPZ*nRsf9(JJ)*P%#=rS>&<^aNbR9 z1N3Kutea?)g06yRr;sNaJ|fJ6aHJvXWh~P3*|OsVd&>lS0|a{u1ba<9BlxcI%|pbs zUo(&><jGA@Ze}BnOyvjtZjC2dOHBm-#AmYq2l4DBV6D>s*%69aFhATniv9z;e?agL zCJJdVr2$&Jkn}jgVzk(b4<JYR4~h~0N$?64!nrdz1Aez8d{%!CL_+ls(Eq`^<$uZ( zOIb*?fFa`jB4lepQ`!$075EQm{y`MK8f|<(8x#wX|Hv;~wGUYChFHH};9BX#0l?T5 zXd2}~zbb$#%7ZE@fEr|hb+ABs*}{PMVm1VY<V2GM9!d8z4#o$60Mb7=ANWt28u$Ws zDu)0nFNJqc9**%B$o>K8KOp%B#1<Gv&?YcOymPhB%*B7<<{z9e7XyFAUFIT?XGAfO z`GoM!a1zK5@c#z_|KK(3KV@eaF66Qf4p{nE_ETB}n!iB(4=Dcu`2=;3U>{14Td^^+ zv2?Ffu?!Q`J3oOKzR%&yH{UzFIHH1^Odgz$K?`uGnr|zCANM@)|K0Qm_k^6_Abcov z%zSh~>yeloACMCT*>u0#KfjAd^c8=KF!g0Xr3jMB!`DmKK~u@FPkee)J|@WFKunrX z&*^q~T=aAZwFz#^o^T|F=f!Y26Li&Qu@KtBS`O*@m+37?VlP=}MMTJdbYPS@0N#!u z&YnvABfbP1vXwOCY0jsC=|-1rn8G5QB<guo(Lwek*158pd^JS$)W+WtgcTVNm5CRZ zn1ab^hd5|cBcHu(H;~CG8hWgc@kQ{%_DGu|1%$XYJP}m};~~ZT;7)|r(GKCFYFOHI z!E-vtCr@+ZWbI;^4~jKUtl-fy0dpNN0PMbI2R*W<<PmbT{AKzF#J$6X;V>F=?bB~` zR+c^xIG=Y_XE4;qGzzn#LC^)O_d$BlW}<FC(IKjM5V#GttpAEBF$?&WEoL(#s;fWZ znk69D`y|{Bvrg)j$E3woTvJtbg3z>j8nVEaZ=f)#h415oXW=hKb4-Eaz^qA^ACC^U zAgAWxuQ5!%V1*DzT#9oIj9brX28#|I5J!0zmZzZ4j|Hx0txAUd%iX7mT3ll&>(;AU zoF{Twx2LG?ho!W|2qR#D8$wCS1xkgsYhd2VX78o({?7wrXfEzodeMxRQOR&_3Eoj9 z>iWrr(KhTVm8A7IyZZp$C}W!v>Yq~u$kbkgO=skkW%1GDy*KAKDO`gP{wTFD$@&^d zo(ZhCa1#u%NzS#O-P>C|>yjhSf*RE1&$kI7qV-i{t~w3j0yXMEvS!FUDOE{{7Kr}z zm2k1A-i!Qc?6!yFS{l^F3p!-ZWVP7gjQ8gbq5M}GL89arVLX6*Mzv_JoGOfeQZ-nZ zG@+2IvNYX^HrEHeomzNQJhVIQOynL-vkS+yUZUjTXBSMXP&8UP-tpCu8<QVdtywVs zuMY2j;ydaOOo&&E+y%1$8Vc^B>6bG@vN<mB@t>owE@uuTxpBy^8U=9^&k?_EcBhB! zaaVh!;HzdN_Zfg;)ut=GML**U3C(=emGR`?$Hm!Cq6Xd7qD0R*Ow+$7u&)y>F;|yQ z{Jb~LIl0a^Lb_zz0DEZzA&xwnnLyqg2@hVWs14oq?nhLL;X}HrKua#j+GZs><-pUF zpJ?=3Lr45!0w(Rim3htYRN~j6-^8(k-R-~%#q>)mNgFWVqopZC?3>>LakO6QZ{E<= zD3j;u=Akw;ircl>@opXOO|d$LaE(z%BZm5jarcIq;C;C&fqE1PGA8>&>D(CAU)}e; zEuMcvzmzk7q8Pz`2D<N|260qu4{3G8qMY-$S^1&u0cJTkKGBe;`|0-v;Sp(n$!a9k z_OBc`Z8U&<hr_KO`uEJ6OHf+R)T~-Uhk_NDfT{QvE3sff+5tHgXXLyJMz0|u%`l50 z!@jC~xL~u3N@De28Y0cAbx_gZl_tG3ryCSyW&{!u*pc&v*p7wK5@of%*g1*L7@a-1 zhZYwx$s*3DI+)4Q*3r`VAr1y5%E;tg4UPgRr00N-^c19oj!VHp3ODFbe$g*nqWooL z%rX5aXd{HS@ktL9>-HtY>=^cs3@hg}sGb7kX9fvUw8?E~?Uo3<W0UBSv-QZU^tAm< z$?bntZR0ukkSD2}7)K_O38yQ!xGD8+39-Rk=Hk5=qZ=A^dDPm@Ocl#ew9XK-KWU@@ zx{>NYw!xfalUceeNYt3~!UG=gbp(+FR_d<;YeE#Nsia<=T8;FFlwaZQgcFsX7r{w( zzDJm`Z*4*19Ci&dnp0&*K@sSIfUh_ITA1ek+U$mp(EPaWVuDD5DsJ;}1wu}!tR7js z^VCUWF3tv)|2KWqJb01p!eu%OV*sf?AH&J3c<%!$qV$)13?*W5@Pxxsd~lUpe{pcM z*@rWPQ&*EZ*82qX@p2PK9u^yfdma`MWZ#h}^E+i1#V=8g7m(UNY^Kj^ZnJTc);1t( z?S6LsW*h_!ycpjbC<n8ul<{XOwfdCLeP0bDJHSFaRt-ADQ|I-AZN@)Fe+*cq67Tg} zo^&w@{*_Yg=Q|Olf><k_l=b_9f-2Sd>3KX#qLP{nZbM2@zA*Y@m_zN`UV`GIl>&Uh zk@72q%(C1%_8|B5WT^-~tugu9l%ke_{)+TJLj3mzSSy!5D}Ff=X-Kc6#>@9^5}1aP zEk14in7I2y^l_y3fI?8tSioLSn1e7-#hJj71TOeTY&@*mi2wgEKCHX6qmT8<qVPoV z<lNCp>5?p#%+Z>xlPmGhYKf+24P>XLqEh?X>40Qd&dcPQSg(hyk?((LG-ohZ;mrGb zCa>}<!7MrkKd9B~g#5U%12wEZ!n3q|N5+K$Mqc1h5R!3RY99F1?iBn9vB{z_w+alb zTM024qsUT;xdO_~HI)a>NlA+mwM?NaS-yncRIDW8r%2V7z$kehD25+Uq;%D;^uT|z z^lftl71-}mP%u%96C~Rz51iQf2BVO~KN!;K=s3LWlC0^YJ=&4uaHRSbN_J$1oW*iD ziy-t|1-wlQClT;-!KdE77e#ZG1Wy)`LNuc{NJ*Dw#f%v*Jb3kPiL9%K`~kl$%N;Il z!z$46C9tS5qcctaMYGR1Np!Wouvy*D;h?*ycvCR8l|D$P5er+t!6-Ict8)Hy)OdS7 zBX|5<DIj`tw&f;-!^g&)K>%_y?-m2lnTzq(z^by@kOU@h{T`BS(41GBQW6zXpqMT> zgFlyOBuxjREne8QVR@uzXy{5*&irbU^}<x&?r=}ZpROz{ezk3c5XZ~U<zynG*p^56 zB@mysH7#o}W<nh5l5og=VoufOE2}7XtBU3dIVH?xOJbb57UX*@8Z2NBS+d7uA~668 z$^rE(TLmmrwMjwp`cSvdMgP5&Wnlu`2R7o6l}{bWzYJ;`MnO|s=8?fe9`&4r2TNo+ z#X(an&);-c=QFpy&?x4S`(0fzZNtb03zB)zcrcy1KGkMv=nfhnL4k?r_W_?;A3862 z)O82js7d0=3SvG8g;Y$9Mvd<Rl>6xNkSL040gA)<`GRbI4+~y#T*NA6CngE3m2b*C zGG}%e6Rb%dg<3oCV{-Nu)8~f>`JWw{VYhOzW@_J6UBI7aK?EpW7y1buh%79`Xb!wH zTJxnNWQQW!yKS<Cc?5}f-~RhraAof)PcMVmOgh+qsye@zE)#L&KKg@g&^|5{rqvA$ zPTO80<57qXnST&gYMrz@J%3tt6zB*Krh60r{qg7?+H0zwRMilIRTF9C2=VD}f8csU zNdATYDdB?PeFV`ol7Z$!)!q2^%hmQLZ=yF3PQxzn1|Ki4CGKh}Q`(78#E!ZiiX*Wh z!S8ocX`Mfog!m$J_p1LZppp%N0l+C+0arX{Oo#v<Re$fjr!2u~uOuuS77Hy)trRi^ zj@d3#Qi$LuY7t=~L3Nk-8Yq2+c<d8$go#?E;zU7R^icklpn;{8<QbkG-C9ClF?mTp zu}u%vxrhT$$unftH?BPzazuo^-cK~>duPNPQ1_Kd2#~%-vVRwrPG`CRGuY9^@>XLa zhN}t2`AwvFs_{}mW;lm*d^QNTG}yRMy6^cz!g+!T#_<Hxa+d7YgVZ9#qtIhpMP(f1 zI2QPSE`xO8u1~`-zy;CsLE{jQN-meR4H2hv`QnX@;<&7~dZ@sPac<3sC!b3$by;UP zZ_&I)Rm%JbUcWCr(WeLlp6-|0T4u1=bHU2EMAdmM5Mk9O+TlSSAHUW40)r`Jqj;ak zQKVfYSdp%O5|49^F&oL5!-6kk=uUPMXxjQ0>-(A~H>^vW`OOP9qj@otP#db><Q~Kp zKiAtqJiJLGu<z!tkiQM+kNhJPtS@EZ38H>ag(?JR3heQ$0TQf+)}-|9m#ynmy9xaj z6>#!##O@!}IQtAZLt|8|rjVoZBAunL6Ilfh$+XZ!*q6S2>Hfk!bDQ>LGZSmpU=ZAp zUlB547yB{BC)Q6E{tQz2Jc%m;u*3N@A!$)TqzLuZ)$(vm=%a%;?Do7T*QOYmnUNbJ z3EIW%os>zTz>m#Tqj)bNnvPAxp`A8VnSv94A)nX1onBNc8<O_^Jsk3T;Uwr$fsdB) zY(3|RyRFkTqNCoUPx9EvgvK+C9vLUm%2r<+7DA-B$;lWRG^3!)a83odL#A`L<c{R3 zb4X?uf+s@Q5Hfk=y_}+ZdPCSCGFeCXxh+AbNq(|~0Rtgw2#kdMefie&tjL4~GYg@A zQ?U=L?ex+>`65qkaqJ%GWu=<`FmDju@8yBV^(`L=Nh+MvL!RpTHWo5|+h1wGVK0v5 zu7c3Ur?--Aj>GO9|Hm;})VGXr9afy%?I7Ds2(4fy>nTwqlypW<f|YMkB&W}INWT6s zNhTl2kV<Z4918x9MM22DL*lC-!+9bwI+bWVGeIWXX*m#Dsxh{x7A?x<ND6O{17)u- zt7k<XL5VcFk;qbjogrNa23LcNDXmEY$D|WRd$m;zL%bBy;8=CN#roOOoww}=<CQKd zlt2x|T@EUo0$tLdOPGlBFLDQKQ0~zp^S-hGeNOL*PMcj9D@3Ml{1ZaBh#GX_iX^Jl z6z7W16pGat@#kqC_>6S9<8BH%kijjq5UG#PQTIo@1T{MciM|9WLFO*aRRBiF!1JgZ zO%x1Hhd;tcn2iXQsS6hCef~vnQY)tB<K>$b^RfK1ekMJj^sdsLe*fGkxoZV(?*#OK z)raB5`uIC3x3|45--T>gd3iX2QD8M~FsFO<bE;cVgZP>rUk&Sbb9Ej6F(3*IspY$! zdImw%j}J`q*n|&m0Kf9wQiF?>H(r;9*{%OIiguxNk=T8M#PdZFa>G;d>?ofv8wQj3 z<-gh*^}zpKTGMj@{<pUFf0x!|PX3nGazgLAnWl2j@ydp4F4wer&glBiw&!;^H*~v& z#xAb|5He;(uoW@H?>|Vo3TiyOwh?{&xqpO|o5P*HJwN}M#$n3z3=J1^6H*WrN)Iir z4F@Nr%kMa%f~OX(!gr(E*7#T>feuuips+#XD?*cGzZ2(WtBZ-i8TYge4G9-dR0!Z9 zwV%lxitIP>1>G2OBCM(-f)Wws5-yG3F%o<{2WR7b%Yplk9Q+fOVtcJld7SkO;<Qxm zCM?sRB3s2R507qrEHJbuTxuqe-OIC@we?*wlHk7&$^u{!Xp{m<qCpKn3_|8KysE?C z`=FlBxAk|YZBHQ2BjRj&OX2twS-e2t{WLI*(&2(AuWokf{@I7{gQuH2^4P5?51#fX zW1u9=dz?R91+l3lD5HuI?Op5^<t$5a%ocGJ@=t3^XcoxtpzXt~tsUcOpYGDOZ6?hj zlSqWB%qWWVkRyIYlorzhQU;18^cj|hhI_2=5Rs@QcDvh9`wNp=s@akAv;|C%rzUSG zCJgf~y#-cD{yYRsxR9B4Jn%kg0@{D#9T*Y5HHT}Yef>4LWDgVcu*8FJI_la>d3Z?k z=)%?>=XKp16QTnq0)H+ovHwBEW&j4Tky51RV^9>9k%D$V%|b5&%pEJ4lDG_)=D1Ye zh^fb<nP~}YSWr+eQweVS^N;ivvbF3ucMC9Zv`kWBXrU~0Jq^jfllLJkQy6BUKIeBu zcW?Acau#Gkl!*FeoTnuaHeO<GzHo`AU~;HYIEE_iA5fG^*u>~?7f{qw8n~deV|O_5 z*J5|XH>|=NEX$65wD>9*@@72orj^sdEj!V8sxv)o)&FS69dI6wLR8;HsTSH0rU0hB zyD^GFQ0UYc-~Y12MgPY4i#_lylotF_%PNxoXx#WS5X1^iRD6PrSK^mafKV%g3d9`m zl@q<@GF%J~wEzr7oyFof6JfU~bAry(T+Jm+Hc<q`(4f2Z_>1^ndwc(RF{%NQPiL*b zam*Fem#V3H&HE1SpV`=#zVDznqQ<{5Ct`N&x2O4-6sxkZ1C)C^A{UXgjo<FyU~UQh z!Yk)`KUnSG!T(=sd?#f2K_U(ikZVOy5DbvCHyRi$;LQKb6`w2d!SfZ9d)z%mPlwe~ z`q(~6eHMekl}r|arh9L+t(4k@{6;iEFR}jj{65g_V8je=1R@_Yrzt?h*q&-$-+px> zS%lBTc9^qH_14@l{=G|n3E@r1r1^+nKbG?-^xJUNkxTz~Dci?klPSt0A0Iv;<CBXM z1)sD?060H&IUjsI!~XG<_+6DVSg7G>-HmNk9@`bgyR&6;%adZZCJ<Ao8(-nT&bwQe zagpZpuO7?5y#Tfx1+Goh1q)DeAb7#8F;nTFxo7dL;oL8218i<ET0hp(uA`+Lf0D{J z_3PriTT}Oqc+u(k>4cQ$kq-}E8T~77W}O*0Fv)*TuNA{mUM~~spO`ghm-u~o*YNU_ zsa=i(>o~>u>^}VSt_82$ieFtD{$%NUQoT^=O_qe@)l)-n!YCSl(h(eLZJLf4^;fp) zxye_XT!1m%<1P5z|I@e9B3mn^{vSm~i46IyR8$lkf*1Vj4(-*i6rbKlOS$I4@{zS3 z0M}DqC;<V3YRx0BenI)}uaxlj9QxmSRdNgZ;W(B?wHWzFLmOJKKAjH#LfQ4T3g}{? zd5bUhJ^A2Khe7~-Bw8UlnS^uRl-D|mW%rhOk!zosK0U}=F&JQ7(%x`fJRO8Ty}7bt zd+Q^dwZ^_lN^<ATBlkR6GvhU8+Q_EV0?uwa%ROF#I}Z_{(!d|HkZ0_~1Mt74)VXy_ z`em>HW7&h^kMJRNL8eE%5;lj3r%`zXEkLgdHTijiAOasw+DLE+M?c7~6a}!SY=+cJ za9oLw9t&5=_MZVOmKSNv^;8vOp05HA1wcoOF1>~A{SA{{JYzva@+L7e0?G9+pyuJ> z;r(g{Q}%;tZc}q!AyZH3kvcq*)u06BcMtt(0FTPf`ddu5M{PvC2v%>o&sP$b4jaVp zxr{I&XTkQWmlA{&5{?~XzR=+hAH0O^+;I_HRf9R~tuV<qcP6R1f#r8Q0g$r_@P|6u zYY~qi(G85@rU)lnd^eCGTMwJufJdFyJZvVmV44F@jtI`w1Y9-l8J!2>RUY)^odC?A zt`<w?(}NhqXVyPszQq3N-??CTO+okb?hQBnhk>psLAk=$h~LN9w?wz46Yk5+gU3pH z7|!-U1o9Pbq+Gn?<XaC)T9kG}C$OQUhO_2p)vZ1<2lVwM@2VdXx006w<R)OKYoEXN z91spgysK3$IXE~VBO%|L+@kla2Q0O5pVZDz)%tq$GU|3B`7YtQanrMap%E@{WLbu5 z(z9g-eWKbN$C^Vo<Seb_V$LWGWbtY|h2Ys2j}&f5c>!Ms*+sqJBp}QfNrTh=j*XUI z&~VWke8ZkojQ(AxP4{jQXvSG%hMI=HETU`!`y&(mB8K!(h`B;EGU#iXJ!NEcqX<rW z#Uzt_w^|f@%2ew9i_ur;wARCR88>$eXTMTHs9sIb6*XL{J7oV6XV`+`mVRPe%Bq|{ z>8+Uk0bWr;a;xp8^VR`22|XR_SJ^XvAsLw#|LO{;c7r=@_Gw)$&|_%C>elf7qI7>< zr<_(O{;~^e8#6%RD~uqr*v36rM{~I)^jIDC&3L~yZ!Mp2OfX~P*9(KK%<|dJHQcZP zXENMuY+1~HKT&C3w3|5Nsa87jx3abpg71f2x%|3S67fRq*p5uwHTP+=FIem84+Nz= zoq%`ki;R8P1CLTNkU{j`N4zb%Fwvpo5pfE>jTi{~N%Sg*AB|xi(+epMAw7Vt!q4OZ zyYg&_Lenj1<<7!gXfgaZLFtfwluQUB>=ooF2mG}9OOtT4m?B5~Db&f9?c1UA8WVFI zsC`-t&&2TJucTS~FlaIA=`Yk$<v4@P)+*I-H<|RRSc(uTfD^^*E#~LwBY0yyF|$DV z1DyG>`2AT}%RAP*9xF}a*Cs&VDO-mz>#?HL_$i;C+Ab;9oa8sAxc^UP(x9D4j8yNE zR*eErYb(OH5k@SX$|)~e?{^_qANo!W`f7EueNO&LRn-1YJnfz`FHP<Mtlg|R)HL8_ zG3APG=jpT^;Os{eH1hE-=%Y(-igJrJMhO3kv$R|*6rm+*i!tLvCRkB#DsnKfsO(LN ztx|Jahee&w=jrjXXpM!kTIsHLgcEWubYX0d<o!g%w9s=IhmCV44!3RnBotu5fm=yk zRRc4b@U6=r8fBorLC>#f=0F?&bO%b7Q~wm1aqWZ(U_uinom$@LWgM~Mcw_w(;_pQ1 z-bYz=w8V~Ux`87yfcY6WW6clLMQ~ddfmR!$-w&JA4d3h%CN!~?rg#0uJ5d|Ltepg> zJ?soqlSSisse4&2yU>Yb^%Rjmy`FXmA^lDc^Oh*U%(uGV(x&L=+3P3jtNuU3?}3<v z2vDs9K*;7(v}0P1$dG~F&D|v8DJ|wq5X4Qy<JR?`?pWC4@nE$1mP&54enR28AH=je zbjf~K0aMLxJ%f&=wUOAdSA=2ID(tT@YD_qjwIlsaS_PnA2u__n1fJFA&ySzc?#t^X zlF}>nUN<gkt4U^t)e~H|2C#nrwxur2<>pBG3=sIAo3|>?EHLq-U*7{$Lc{k)G5I&O zp<&dg^1=Gw)$Y-MyumYWeADL}NU^}mB__&$kDKrAz3;`lM>e)Z<pj~p_+-R~Y@&p1 zmELBOAaD21=JUXazfVY#QwsA%IDeooyNS6FWp*F+vm3?vbbb=1s7p33^8&L@{sU$L zAu!Cbwq{gzbv_Y+b)SeCoLoqf-e+)p4CTq22BP^K4S>{N?|~833M^-trP;+PrQw4Z zf%dwCJ$EcuX4RJ}O9sr9SIi6r=xW&ID6ZxwadIS$yzv_&v*F4ot<rT34($%W?YmY@ zvg?b%KL*JTy(!ZdyKTCS-giyy8?Jz#0>0Bk_arL0@@Z6%Co+vhM~Ss@BK!{OkqL0? zV7_d?WeNN9u;TOej{Soj(Hout1(EK-Y27`x--KX8TG%oK*74y~29vIPj8&V&bm`}T zTq!Q?7_B3$dAFFnkNy$(byB(R-rE-0W?qHnKMhBko1$D<N{dzQwsUT_f>{oe0E1gh zA>Iqo`>v2Tmrc>N>BH^uC*Lnf^q=Hmcq7=!2n4fGk(yb+j^qBUusiBv2rc;JIbMpT z=ZLipgG*fgIW=t2=ZUy%ix66fOf{k%qq0u#^>V2M7KPMw49MV>G7BlvPDe=Ek5WIW zm=7bZAU2<-)3%?-e`+;fs;JLG0#@oidnD05&ycJ!{9#6)EGo+0%1{$>D>Ol*OCt2u zk$<ayeG$yQFGbJh>nD(sx5uF;E4>WT{`4LTI=VzdpgBqbrMlw`UENE}X8Eh?HXwHX z(Vt(1hVrb%{Tb|QMc4Mqr~qLD16G#5y>WQn2!oqFO?Z}EzSJcg{aUi>62R_fU3Ssd zJ=A*%z>`JN7dF2+8moVa1y1@R<JSB*Gi&6w(zO)Jl$4-#MMHj$EA-6|Y^;MpnK(iq zmsiuzTept!WXNkGkJ_+>@VLhowAi(cZg>c}D<+-)*1V>gzjNXI^Y!M+xoybwNu1#3 zQ|>Q$qPbDGgyxK9GW?;lb-;}O^OtvVJja`UTt<uWm@rX31+1l6l)NV0CKoTh!<W>K zXMkC#7vt;usNJ{m5XOG<sXzA)yx&3%*ZEorkObbb-4c)Sm$&E0Htttd<^<oH7QgzA zO4QGsQt^vbYs-bsy{%&VS3;1}%N!2c_b|H~9oBcy$XKP!l*tN<Dgb@n@R{8n986kg zcRoLQ_MKP>)f54Eo{^%_Bjj*wt@4u&S&CTI1XQ(WO{zxO^20UJ;MwLK_!aYG(ymLU zjb>hBSn&T<JELth^TdTi>_OZ!q?z8@XIlyEb3{wh)D_bVjPZHxiN|?GOE6`Kn${~w zIxwZ#36re=4(CJ2Z$Dh%at4>a8mZ`Jlw5B0nWWNN=2DY-Ozoj8Xq(MHlAJ=6$nZXl z)1s!5dll@RX3JhPE}FvpiBEXDMbM3vc44eZS}Tc=31B)};u?pTyC?6AddV?GONAU5 zU0u=$0}-Kyv`ciXGP?me%5rR6Th|^^XkD>lK5CT#7^xMP4~;YZ+7(N$xLbGU(Jmwl z!gOz()&fYX@id=fexAX;xu_;Op<Qs<QyZz5uPj6?H@|AxAQL93B0l;>IxEFTFE>|c zN$PbrH|NAUmrZ|N<~9K@v|Gx)m@1l-Epz(X5IL8T+u4in73!Mp_Y|&#<RhR=g>WjH zg8S+K2w5CU$tZ;HR7M@x2RESIFZ0-+{XD*!EvWY&=#GiKa8mm0IA;8`c4VKp<4Ra- znM^-?V&=_tjW;l*Y>nfOy-B%8F8aLORj^j!-mOE9eRO8R_FHMKLCTAmTH_0(YpiE? zvs2iOSGC`-qo!4v2YZ*W?%Lr7MWxqk<D^?j;AYl78oCr#(2{8?oX}D7Qaej@<^9?} zc6gk2@}~{EVVoMbl>tq1e}h4D)~%B}R7@0v8vJ(}S&Tr=B36u`2%m$bS(`q>R_c_i zfT)|Wn_FABxC76F-IZ5zg>b_ycIU)wCWo?JGhU@tr-?FV8tS!}CyOSKMLj+@O5bj7 zAiJ_->!vL^mVc&Tj8rib<zSp>-oIk=ExmD7OQzjD;g&DNfhhO0eGXL%)M*sQmY^Y6 zWe%mc;+j6T(gHtk^_mwic1~4h4xK|=mgo=a>@)lK>fcu1G+mp+?Y=HnE*rVn)tTrG zYBtwP5Po^}sB$#F{&BZfdvVB?cNbB%4#@d_&Sk{ECq~e*+g2Nknlg>73V7&jBB>$S z=nr|)WQ0*h8b0hEhO}n2(huU`#s0>1@af*m2`#T2n%~b3q(tE+BqYTD5&WHoC5jEf z=k?~M*q<XX;bFh=IY@wWq8A*zF}$cT{8>Ft2yq@a`^$?7%+6*W;va(YP^%R3D4;Tw z#wYN)A9OEv3cNV{$;!g^Gsy!xay#d@m~zns>I9t5MDhefRC{(Uy21qCOs#euSxDlU z4D;Rc#+kwO?ce!O)(PS;NriBt6h>)(@I6Mngt<I!>qB_lgnI}zk_K>L5$J6dL1^5? zqANaqC3$qcthk~%Y7*=<>U*Fhi~|~FK&6sZc3P<2s+LZkFNaArocUK!zllsQqW{^V z_aWshK?;ai@|^nVFdhVhV@@t9nJn!@sd*0@(jts@wX0I%dz!q3ag2cb%>rfLi)3F? z!JT#_N(tIxp(0BXWDoXjw!L8Jqs7fR-*<^9`vH2?@q+JyPlm|E4m<caq%ROU4V1q= z;&nY25WZV!<Kcq@?;W#RBL^15Y7)6IZ9i-#-AqLw@%O9#fMqsT6Qs=I#FBD$L$1`{ zt10xYR%L=_{w6}9HyivJwVV`9L%y(5OHGCiiTB&QAUiUfnAY_cjNI*(`ByX3vIq`c z6#pE_chu|x^g`iY;e~u7;z~f7`O$sLO(cMpa&(7kzlrcA5^4EOFlJJODv}xY-YJo? zb9w>`Dg$Hni;C4eLV2*Ra{%s0b?Y~AeS{P;)8ck%hNo}h$65-YRpOnxv3K9oZ0Vv! zESFoy5G^PbW;^mlY<c|fMoCeEg%Ya4_06pnCd_GlrAcn!)RI#KcGH1mSTi{PSuRfO z*?j@riXumLRl`lI(BiJB#N)06c1(X$>H4GWgj=TR&*@IfrtrDk!9|NVgIsb-77P7T z-evxfYiKRoAdZMP(86UmXkv4Z9IeOqytU|R_sjuZDMre4N*BD?CaU|-Sc{p22HL9E zjtpmoX>estoD<z$Z8pH?(lU^kI0QZ-B=8fi^<@%-r6NrvJ(txrPq2Q9oRX(zX7NS= z5FWE0_pzjUG<O!G37w1>4CZt-2=bppA{0=ut8u-O$36LHis~ja<*zt)#b3K-e(=(= zSS>(8xe^xz*%u=rnCx|WzyD}-k487epp-Pkl*s0$@#9mHOuqyixOA*IOb<{oHO;Yf zC#iYtG19VeFI@(0y=CcFv=fc`(A$X=6PION7KfKZK&4+&WmxO*&2pKiY2>cu&3G}R z=`3v&i}qwIN}>>pa5u9L4O*yB@DiW}%g}}DGYmSzlj_?lK+Ed#8|I$Ssj)S9nn7t< zNGg;Yh_9<)*~o!pZ3#1#**Q?wci%tKiI4c|OJ0MIky}mhFVh5+`67eKh55u2)Nm%N zp@qIWx^-!GuCQ2~OD85u^DxNV7B-{So*-<vUD6Sj1>eZm<=$8qcb_6WE?h>8;P*pP zzQ4b{y!ht{SV$eC)6HIX+$0Em-|j*iUviQz-pZIdiwp#!p<rQP(*wtlX-R`br%RV< zg8lUEsq?QxqGj?;XsJhNAe5aU%XwkdbKu|-u|d^4!PG+_Dn%fFj);`JU@Bx~NyWm+ zE1;E9VyYztSLg&C2@me!ctfj;L-UX-u}31YN;^e5!VAojfX<O%&XK^*8ABG6ffbX{ z7L%bB7r_D2!w}NL>e9pH(!-eXFp=ef(q63GUmMYEtq0Fng~ehvg{329g(-HL`<qM| zD!7SN)Zk!>gcE57AxEWYQeOzvZeUSVQAYiCM9ucl@kwo1qhv-Q%3szk$p1=|Q8Ds6 zQ3=AorOb-aK4ikE82%kGa`dRGrABepRg8YX+ERX!Oj!D=D4HM5)_dS<WTvDr7Nm*< zUQ`qNPUayPCJQBI)PMjDsPzVodG&8pDoPtlQ0F;iLV4DN7SiTS>FoG&%`woYjy1>P zwp3ZY$iV1DXJKu7a^h<bJ^gVCMfn9gupO9mGgfCey>)5IdxVd<;lq3yIFl!ybff~v za=4jQ*kn6Ls6R3mw49oeV?a~dC{|$e42H;*VqjB}m3%lfd^pg4I8?MS<H`&MMP+Io zOBh@kC0Y-LjY`uY$uCT(GO@-$C&|+@$`=o)mQi96lMe((52#X6VC9nzfytVHTEA%v z%h2yh$K#JCY14v<gd}SRMq2>n#L1rydLh&e=s2V^Aj>23qbGL2H4=33iWk7t#g!#& zu7WB@7!Xw*Kxs+KNt+*qHJ&hNTG`7-Az^3)H4^mkicdfM<S<tTEi0mL=a}bW%rPO! z#l@3<fz7>;O^+~e3NH-I<&$F(UxUw~#R&Z5D7XFy%~em(!jm+p16Cq4)&HAt&4O62 zN}>dmC*N-}W3<8&uJ**qnl4Hzfg>j}3aY9fhc6|q@M6~3OD;`SJKaEJ7Z)%70=DyF zL28~%$x#GCQgA|x21nzjM#e?sq%~mAV7JyDi}xd@{A`pAQ{^-Kst5N%zW#t6a&~mO z0?f)nqypSB<`tj|zvOYE+|NOys|9gVB?6tRGM#rxSU<dZE`=VhRNR>pyJ+a~?*BeO zrSP`C<Bk8RgZ$f%=W4*Y_XV223#4ywdPnWuv0u%I<fW(VNxL!YRbym9z0S;Uqe?rx z$Q?=G&-9%O95zCA(uw{{Q|TM8{)@_Uwm(9*)YwJcwJ)ImhV-EE((2m|F(-DV;^G=h zWNweqjTKx}j4}aCL)>hNFsEU0>@iJFw`W0j_=CP>5_7-6J8c{GL;;}aiFOw4PHCvB z-yta8Ad?@lm3It<qsWCvKS#yYYwqk$x95*B>SYplRe*z2Rmh3EN0lQ*4roDNW6$XO zk^cTELI{B2ZYp>b6i>l8-*kf_z2gtubc_7)s(-eJg#%$FDS;i((?c)6pfr7C)5q!b z0li~LyuUcRnPP60)xD(t_B4{DI4f8~-n+C++Ku65Tv%BkV$MfYwe|#qJfWSi#r9ez z_2lZd?<%Nw@MY*G6rE~Us4_BI`q1IVCB89&;}8h*I6yO4qurkBz9`zU5Zd2doy-G1 zmR;GteOoUbT;lY#*bF$mjHnx*HZgPxE*E8a+4zPNaH4|H?Lim5>4ULr$cGt&R%3EV z&cMCuDnJ`CCWn`oWM*~<qEQ$hPG0Gi+_<;t?b?Fk)D=k5iwymZ@L`hHiibqsiep5c z`V&xbkszx;L0&B!eTzvxP?xhd#MOgIx@4p*olPcM;EV_#Ho(KFjyDW4D$`L!UeuSc zkK_QS5_V2qChJ*TF_VMrXNwl3s5PVA5GlK}(79>zzRG)Y+04JG_XDbI)cT;~&KEIw zPY{EQ-J~T1kFX)4c|UG)sgq!#F5dpD7c{`pp%)=N;kmDIJilpV0m2m5XZlRQ-?i5Q z9cXeRfWVZbTxii{eDE98X<7M*$9QxAUQi&~#<`Rx7M`G^Ml`)y0K-u<U5Pp?`H5}v z_XGGJpxY*ghK|@$dm4tPm1=IQVbn3;qB*>O<G1xNg95+zTd6zf#c#hBerOctG$%kv z?-$b{8Js|u+5r0M8rLBzD@po1Y6!wzu#{T*Q9qB)L;VQ2qK-5<#m{9H!4aYiN3DId zi1nC;8%0)veVj=WW0Je&?tDTl<#p1aa#<X+yeSY()zS9z6}}GTa<)(jjkE2uqt9=7 z+j9hgiMAb#_Gs9Q_Ge%c)y-0vZNGrK@tXF_p(o^(n)xMtyHI}&mC)*BKQOLXL_ z>ira@z!OWF>3vLc2z#zWf*H|sD>*hP@hEDB2;v}hs*4WB=%o^4M0e&Kv+2~OdIxx6 zdPTK?;?7S+<tIdq85D7va2Mk-gx_9+*eMO^W0VLoo$;5GU;O%EZ!o)+A+>=qCelpL zbBz8XH;ykdna}=53`|LAV<B!z<8&Om$Am(PubFvZ7NZRAeFWrsEvBKUk((yVhyrqm zu_KnZqUn6pw0$oE+Awq*PB%Tn=->x)VO*6hAq1hC-r37c@(3|-S(xJN#s*n3#u}VS zZU!Hc#)uVX2zp*XGaRlzH*ox5;r&aGivFWh_GzBk+W)vug3w8Ism+E$$38wJoQIl~ zm7BjPzSkQo3}GtW&uo8PmlciM0iStvU6Yl@m2I%Z%8A3OiO)|E`%}kJn_&z45x;?Y z{1AIo_80P6#boM3X;OUlFCW5XBxQV(2HZqhWX5i$9HX&qUp!_guv1o}(UK<I(e-U4 zIUveYP9pD1LI^fgGB^KsYqIHAHW&R@^3SuB@Z@@UQXGu2*<azOipSZIYdYD#+UVi& zpr(PzSO~eU_MD9Y`D)tdT0s}$n1Lve;{*nf<9p<gJXwo|8b~Vp<wGSL!owwZ*sy6s zDzS28R6F(Dp*){<fY(Xju_*Ky$@@e>4KJ5zF_G)rLz!0{S+2GCqze+7dW*~(mVxx7 zmVt*cPX=@ziu8;oN^~CPHEANANv1|cxTxkzwFIIoMiH0_X+R<WFKcUp?ZkFt2Nu$V zRnIw&prQ_0)+o%HM)$9@Tn|y#U<1j=+LWCaeTbe;r-9f7K$D_S%erVu(j899$DSiR zEp$+Q!Y0qxuaJ5OX6J;~1H==*=x4jQ?p;q*MycW0%^%Vn!xAf=0vuigBSS9^G?lxF z#=M;bEF4Ss+j}d&+SdlPwif`v${Xf65BG&$wtlCakHB&_D<#a|-4f}Rw+PSrUyBZV zem&N@ATd4zX_j6xr(w&n;pr~Q>t-0?D8T_gKK3p|;cga#X%ZH-gV_e;LVH?2_4(k4 zdXYN(d%yjY!r_<3<JRw1EU=EEAFrrTfvwx+e|}pZh+$(XJFU*x+&oX>HSK~ghNzft z#FRPrCct^mY(2rBQsE7KDcpS(yNDls6jg|_1NOE_BNgn1n_hS45)v!kvB)4llf|Kn z*olS|eSdA)hA+k4<g_4*L$NF37c4CAB3e4`Voqmq0H5OT3khionL8Dh(Oym-B2&EV z^BOSTOYnrqIEy43CF{l&4Ue<G9q_VrY^aaj5_bFZd1MPzcV5JsmR{kqF+k+I6EX}g z4<Ky26K$jHNB;ddg&`4Mo8IUbcep}>3NPpEF5)%h8bVo~H-~XH&69~w8KM$ecC?Sw z{x=R<tR+>wlHL{9K00xBeLhlJo?If6byP3$U6a3m6D}h+brzL+qc@Jto!l})cT?@i zQlz6a@IHf{@0k%{H6W_fT-EIi&SSTX0WCJ--9wWhgnGGrho>oVpg-@SoGwchJLD|? z&|cMU21O@0w#AQv>V6u_Bp~RxlczKOTm?Tb^2_$@#lhP}F@?eEs&5~nG=bd2d9b#F zb}fR;doB2+84pFbQ$1vn`KZ9dz5H^k3?k2l;Ed=G7^WZ`_^^DJHE(>N<0JJ3GQcO( zwen~@6V#5cKQguTRaI}2s{f(Wz!t=_%|Oq<O`ap%vDz}9q5w+2%Yk0$I6;-anm8g} zBc1q)p-N@O_hp+ZnELbSk$2|8VaMa|9B%_-jF;MFqOPmluAYaVLu1r1T1S{Wdm27p zZ}e`9?zhl#+gkA4rl;(*Zb)U~@_^>-yKR+1)$buSzbg<GArXfEc-gFX*Pb`wHIChf z8J1T82;Sa?e9hkyK;P59hX0JWa}N_|D31Bq)3WzOqYYQ#K>3T0M;_(L&vXWdeBt}a z!vM;dM$Mlueb1WfcM<o-2as^EmulDZQ)`RQH+21sBepd@!n?nlajW{N*>HiJHO>~R zU*C_#-OkIJ5Y-Au{UDBFM~vI*DVldtIWkvY3Ucf}bLIgss;geKq+gbNXyxaZoi$}m zIh$FJ%I|n-+yom^RX7xz8c`GQ9+r(wxz}6}&5jMwa51>h+h?}@NR^Www@#(hB}i2r zjlt`x;=;*Rh2fb&IcFZh?DB!3<iLG(&I~ab%|>R)-Y<J~pm<RqBovfp5|n5a<rCth zn3$O`#msNcV})rpg*V&%J#PJnz2U!Zcs~nI^V#xg&{<7zmTMz&@@n#t^~xbix>)uE zWAW9LOG?$kW@~L{XegJFg>)KVd=mjisWTA(NSe$q4D}Gr8Z5qWPEY_lYx144tpchF zSemYH^i;`WVWDe9Q$?g+$*NkDJuXcVID?K2%f^pEA7WD#ep>a>U^eyfkJn^yQaP37 z<w8g0k5ujSZGwn2g~ACfSz8_(J4Ti{=;D}^87>M<mr$(iV@33zxh^N+l5xoI(PNtG ze>%F$T?J=_rzFoT?12E>xk)@zZLT%#sP*bPDEEdg5~;KBS_e+f6|2~f?J62#`x4Xo zNKvsVDi8M_lZj*TIx-byj!moWMbV@1ambTfbVSf)GzmPxVUCk&KdVS-M<odM=~auj ztir$tRk4KkHr1hR+kAE;apD+aZsF7<)w8c*g7`j!1GO|H8w$|n-iOcW{oIwWx|5(f z2<wK~t2aySU?mBZ=<-JIA>FL0u;_huOQzLJ{y$W`1yCH%6E>WL5CQ}XAvh$sJBLG% zpuycC_~Gts2myjS1b02$4-M`R+}-7HI}UmI{lEIE-gm2dcXp;{c4~L4tE=bfXKIJE zPtV;R(UZlsNtJhB6Uau~=}Z$@ef!{&_SptAzY<#)o7m1HMEu7&GA=a1NNB6&=iJXG zMahizAJCtLACa+K&5dWeDH=nyDIh7x4+c=#n88oLF?ycLrt1%`1v&zBhhINlp1<H4 z42+bx_uvRubc-H9`bVe9_r7N+jC5e@rDeP>Nyx@_M5O6|TFChlXXj2v9MDFy*l%Pn z44}TTbOr`rWoWQou^tyt4QkkxP&vPjz}Y^Q`HpnXAWH#tN~KDslt$Y2lYXvflBV!< z+yw*@KEDON^s6zdj8|@5760DqU?&Wf4zxta%Q#nwkdQVu24O~~{l&=>bzu9Y^Gy`v zH40N>K68=(T2?H+0fn2kgOdNbv?$SQl!O+V_sU3~j?Bqv8PQWeWhBmwUox_0OC*xL z5caqYa<y?=<ihd%vR8^S=Ic+{P3xa#Cs_wvzn9lsdn-n1$3i=Zi8<(rOyh*~Sv9Ye z0$171;cqb7H_?J$%+v;oiQ%+=v3|y(#)Hvbv4+`WcZ4yJNNlW0sAI{geIYZ2M<;W~ zJE5ihejydTy*+X&VG=5^5z^g(`msa;*+1!~Kkn@Ht&hX`=%E76_%CYv=>H~F7lRKJ zQT$ADpNbnm`is)+^Jnr`#LNo_&(Am>hhMh>(UT)Zi48edDh3Ck-^hN@@OtiYm;bhu z=)s|IbO4Qp>BbPht(1508iD9M54t5+_X|(iN2~4En^!itX)i8RG$c-qQP~)6zs8fM zy~tKlSNif+?uRxZ6UFV?>pz<P6g=2#07?fTMyLUDvdRaw&th2e%EpuHmGch$YZ`Lq z<7y*A7BK_jG+o+!%sEGEw(TvWAEExAPCr95>)zty$j>Ey*Ki7>6X1QhQ`gv!@ejrQ z6pfi_{a4wTShcEy6Pj*XV17Y#zP7`6v>`cTE13^>IdF9cm00e-MH}L;zVyd3@d7|# zens?=+02{VWXUoi^^t5%W-x{rU)HEH-B1?k@VFIn&Fii^f_H}|nZMC8nMNUWfoDo& z5z0f1IQjNxUp{Df&>yhiEDY=;J`C+lQQh<xSI|AAsm9$kW))o8OQt7)vQxflc%Wt% zrYcYQqQ=Pc<kG#trAX!a)<}3kqyliA@s@_Bs2B_8IU;9OM&!(WXvI+WVfdBtSd?En zGPTpgxo5k@{ktgY<zSFgFE(U5(7)aWL$T<UE4pX5@+1afaoK0e1h*l!)J5HR{~T>m z;~Yt}z(68bypP~vC9R?}O|#E$*3+oz-dpGyuWK2j)w)O<Y3QInUw&)oWCOkXX@NFY zo-#yjjwO1hvK|%P9WoxTS33wbv1m|e*Ym{_YFt>2vg)ZHzog6Ezr3w7BIr<<SukGr zx#Z!fZ>#B1HVyxwu0}f)8i})KJKa|@f9uP^JB>ZvNw-gJfivCqYJrZy54nz$Y81p< zc^}D@Z;p?X6U$l53O}X669$^392NZku+2xiR!Df3f_*~=)jup88GO**X8avE5m)_1 zLwPoI<+Z*^c8`=cVff1HN~JobrWAgt#P(M+CNv7&kWvTXCgK!Lv9snCRE&e^(xR@O zMsbE01uJB5QW66mv@Ts`{Lm~t<sCG|)c}G$YkFiN+m39uf2%H&fBJzYo6Q|dt`(vx zJa#=KM7|N44Rw*BDdU!3%sbHs6}A}H`EtW^6(cG|ja665DC-Bmh98b)oD+R@`CtN3 z0XZCYetf~qSbX$c=MHwA7fGJ;q;*K&guSr-q;0Wa=a;b6mjn)!V3?dMr?sysAA|*R zC8)EZ8!O8t2VMkP<xc^;=vE96&ySrsA4Jg2a}VPcJK@_)Q$h8=?K;`J72gZ$x^kX> zs$oY7=OPyHZ8Te2C_~Tg=iYJHBJyT)g1rh-+9Go1b&3#r$G5^FmV$hqqnDNBR_692 zW1GQR)GKjb{E|u20R3w@pVWU^1kjoG|GzuIxh(}5FoW1Emkf75@QDn^v>#MH=v0?- z==jSM8~Wa{!{$SRgxm&gf<ZBcR2S9Gj%;$H5s05lEwFy#i)@`hairb(xbM=|JEu>0 z4337P%&(QF;wcFXi`ysov2uC9qsSb=AR6oDK<-IQn*v|Ecwcl|g~}llWM#OG9HF^- zl5IB~a8+J!0yNP?XJv@v<_pe%QodA=AB5Y|Z}M>|hrY56c(o$wQ%&>f`F3D%0vVj0 zP>jCyK){<3!Qf_Gw(g&%GHge@Q|OXl`4~bPC{~a8>L<LIUy$#`r|`1ib|b$MOl;u{ zkP&w843Z(6h<Y$BmKUAn^{;g$1`T}v45h!%>DKG?CfRC}EL#2+1gMIHZ}T_YJJ1BX z*gOX5=%)M8HP-e`KC!0b&wxIRqnkEbw(`*mPG*nZJ0|!~Uv=sXh8+b~?FXK8dR*{( z7~51D7RPrj2Jx^);rEAMorq~yfA{FH@sI3;Y$5ZAc}OZ=m8#$gi3Lc$6g+^6uo@Kt zHmCoRM$JiuC?xO7W=V*iL>Kb^%DRP8ynOTTR_xuUlihb<#{L(cfx@w_0j%d-hiA$< z>}VJ2H3ZwoMT??uh<$nbjq=<gJ0!1>wz@<VXs<N)tt%$RY0M_!UYsdWlep%Ldr9GB z1p^%V@=1mURpUOLGy88)*K?mC2`6lDpo3SxqVQtT^uhOe>nKd)_nHpXB6ELu67>{g z9r;tMT1RfExmr!V4J|Gzj*R(JN6D}I!kXju&tDT3UdT5QvF=>BwD5)8<T5xM)%;LV zS32GB#bQVjlUHH-uvZ=RO8)~FQ!==2<vB?pKUb%0mpwj-_20KS;S>Sw{D1VcN<c^g zya#ZjyI?~S&&9Qg4MJ&_{rI-dNGK$WH4LD^0Qc3gvIc3Q+QgaTaaWzF@l7|8{&NxV z04-zI|BJxK<#rKZa|wuX<a|an5|gR!2|7F;8S-`;oi0&16BK#F?c?@G<T)~!djT5A z2vf)$dBZ+Up+1X7D)*$7FfkQ2KsyJ!1j+CiPT;*&Ox$#>ZXu?wn{7x{_u|ZWK*iW( zyQHidlAdXBpDZ4ylIm&y^6%vjUPbN?hi`+V)@|9=Oo(5k{+^ZAwL&4bIIl;bqDhI@ zsmtB;pY*di1;HxQu9}wfoUh6`e|Qm4N1Z{DE=`?MS7fk0BY||5CW2Z%0slaaCp42^ z+01k6Y}t3We_cgNF)os9yBYw0z<Sh$tz<Lcxs^n%u$GL=U!S^kak$?5{(no=#2w*A z$i`wI>(34QMHHh%UYOlDC3gNwk|aVH?Dl(n*nODYJh`s?3T-}%d2Ga<Ii8-xV=wEE zU+9AD@3}6{gD&YY3fO^U-$CsdT8aC!dX%WQf4^tV1fadfA5aUirNBvf-9w<8V^8eH zs(iRUvtrX;qW$Tu<;P;*k!zH$Fh(`^W3XoaC$7?%X5C20Q7MjEJw#PC#dQvfEm2jY z0JGNM4jU<RFsa)^{n<tY&D};nwMqv4LRwvlAdkJ$O6G9vV~zqk7OcbneM$a?&w}#m ztj9$;L4=SHjdxp7(hpwcFCzc>^HL1gZ%d*nQf6$Iex0I;Y~$JõzbYw)JpkcL0 za=z^5;8e^re6=&_y^mOs3>(p`UtcJ^c-=pMn|jFkyH)==pZQ%%1>Ihaa6omx(d^4h zIOY7=k?0#W-!PR2PV-6$D`@34CM&Ec@V5?4s~a^Xc?!q_Hn>#?$jl8*THh4&Og?WX zX^Aw?4oA~v3`-cI4cFksH2c%^qnnE=*OMc~=PUQie;=je*N?<h3O}!>(tegy>V-n~ z-<0iNUAhUUP{+9-3E{lz2uDucT4b0P{8n%{e#OL1#KRxk49HDRr;w$@`hX`Rz1dWC z2p;YIY->x=z4de4exaw!_x-yu`B8zy_KBM?;13cnEX!9vM6___Rlqc6pjs%Y3z#TK z8x7GArd^$ua3}gOSkW#iV~_9ldy*=>c|oJS+|Do;vwKY`Bdq)6cbT(_)a3PT`r1eB zYA<OT!!ZBf>_ET5W78*|PxBg!6*Vf&jr*Lp@o9bz7#Q9reDyAPznJv{O%DGwTf^TE zMcKapY4bk(kn7*~?<Tkn@>VpedjVDJ98A&s2e=ka9(&(~f^sqEl!N3~C&Z*4CM?yl zjw`rjrBsIwx7@6(s1Zx6KR*3buYfq)n0$s<k2FwL2&V%R%Ws@2U-r=$kb*z?d7J*= zd`&DdX5{j(TlEy9^EB`c(y6jLTV=9v46?p8KilG<E5>6)X4KySjOK)a+*#4Bs;DIb zO0o_Rp5ZP7QC3+uT-a$MC-AMSrW^Xlwix0Sm5Mjb#fz04Z95>FN+`i-?@hyy&5HQ^ z?BV<d@$o89-aghxpb#LLdIUBD-s~a;Au-QVD5&0lPHM!%H1W4o?0YtkGELvsQAl{h zC<hJ^sf*Yyj=cPjr*h2fuL@khIS@;GAtknRP6kKtMzCi7+Hw9+@frpVHtohQbQV&Z z|70ByOWFRmvrO3bv#x9MT$G_8Lu|Q`zV%nb$h10u;scs1#+Df-^~`&|cn9n4XUY;X z$Fgp9(!b(qb+{#bp9gIRQ2p)X6n?wvI-WaNY+p9@A6ADo>dAYy<ti+_4SRRu`0JC& zla=XleDnNYYEUlTYnC?Guht`T?$3a2+@FIOVS^wnmBKjKkTX*3_pYEh2A6L&c%1>Z zI%Myb0cLVjX7R~pChtFBuH+xgv#0lSFw*C}eBa7FMiclY|K+i<=T2EID7{vKOdEA5 zL#kSPMlghbX?R;sE#AtoC*bPId4PHy!G8P;bQT}0aZar21oM71V$y@>_%^F%co!6I zwQi@rQgGQ<l8JFck)Oi7wk+m82(3|&IA*oi0c2NzCa@LU^Fb&pdK~xaccv5;Wrb<c zAj6&uk(aRP-D&sich|nr7591go`B75SKpfTJ_!emrf0X&{NALV_EBLb6;Ci?fXqK~ zc|~zOllG{GCA=;BcEe5RE=6IkVSfj``I+*%V0Es;&sijtFwA<q!+?1qENcELQ$z{@ zT$yi1`|`pb^+e1qNN*t_ync_~=o#ArbMt04tkyOs=Ab5hLrj!Hfg$y#v~^CfM~WK1 zgN65y?#HUulcU=gZ@5S%!;dY9iD{4VO1xdscC#~a$u|d6tw`V@7v{Gs2BU61M;1fm z@{BqqmeOQ43X;fjY7k4wWh(l)NFqPLVdI^aHC^OA6CBeQE91kDfU}&c&CuQ-sz<bx zw1O(x4&VE)FCA8B?8-Ll-RvH6y{Ik53xckTa`1U|u1xi<YCAvk=?NS<9`#w1$bPhy zybAS;>4T%msjYLK&$s`~*B-~2;O;whsVB)$F8dyD)f$W<!FQ-UX&+&8Q*Oiyl<#pp z>`(nX$@*ErCLof>Opwbz-LX}ySiWTZws2=E__5s2x&7m~N?H-V5!O9v%-PxQ+qELS z^$YiaK@=<oIv+ob7)7BzdV(k!j6u$apC^A${>^3i4Qp3|mP|gniGz0hp{8lR=~V=A zN)JWrXv%4ZW1|e-r1L@<qw}p0;In#4|8F~#D*6jnvjt2fg?D;W;po;HmgZ>~kj@{g z*lu&DsRNYnhHc&o@SdWpPQ4Nfn1_8|lGr9i8sTV}%xM(+Ek%rN^a9-NXFK?t>jF(8 z#=D<yYGRn-*VMA(PjuxYFC~>+H2*%Dk=RP}5qn(3dtJOP?$E9`4F{dUB><Z+*#RDl zjjjQsw{?ji8DEb0P0R1pMH;1qPGwK?!b<D6CpLd@zNxB|PE!(`;xylSvtNvA*}i?B zMndUJ%1iKUvBf@o^vfOdw8k)sQ2qkT(g6SKjh^f?^Ac*>*d*(TL*>o=60b4BGJ)~R z(Z~Atw8gCg3-K+D6uC2T#~x5UC!L<vWo+3E?yQz#qkKKI@ol+NJw>*pG#EQ0I^jls zpf`&@$EnDUF5Bz*qcW4t=paL;$JtIp9)vwKzX%d#Kov|K)4@3Rt;j3nMmt5?*EHU0 zF^aU`e&8+I|J0^zZV#}+s^_rPGbWF+O2sSHXx^fA*DL(ZeV9EXy#{DU43kBBWr>p* zl!(-pdhO$s(*Kk5U*1?V8WeA!FO#Lm%3hm44(vvWqnL|z<Z{}wql%)LZ&E`B`cXK$ zdUB9kdQl;A5pgw@l-^vG3<c@*FAe?$f0h3z{>xHpY3_aN`EGgICfSki5h(C8$E;#` zbNctIz$7q=-Y>D6uy#OJbP$Zf{OLEouIZO7M8!GPJ9q4iUWvcIGRL#2Yvb$iD}Jbf zPtsd0s^kTZ-&3OTC)nG4<(50~5)*YB^%pCZ8N*p^{JP|84-54Pdy%?9GklJB{6S0d zm-_FsJK|uBGrT3D`q}}NaAQ^)+D~uZ(?()55XcV~cfS(CSwxBl_z5+{*_i0$R!aYw zqj2U#5e1v+WX!LNzIgl@LnzQ~bNCx8U4>DY=Sm@{mZnEQ9?rF;^ls9`JNU~KHm1=P z&+QCM<FU$EKyAnOJ$tHu*)cV_)C>2GxRS;Jhd~TUi$-d~ugddxy?Yy^VKwUaxYI;t zZ|sM1lHf;J_;-!kfbIb|+j}(kZ+)S=v*%=dKYiZ#<GQ~qZnJG64r}@$$!it$D$jCV zvjvsDtD=W3(nwWMGbQ`)51e^gX#MCHfsoP4Zb`GW?J6Z~-SdEkU0!^y*Fn8Key<_A zx?`V-q{awy*$u_}3!|NTwePqCO+r(Bo-W?12&BcW-P3_PMF5Jn<W_B_4*$88t5<LE zTplAkZ_LnY*t>L&+Ha>0+T9FV7T|Jvuh@QU?)IRqJhU5@txa*Yw<0N$_DF5y`#Bb( zctiilFWf*_Yyv)ukln4_#C=#5dXd~*isbIaWVaQw4X&CqU41;=9334!j(ZBA@p4GT z_4f9IpYe6WO!0#uXe!qm$X^}||DRl~n%IP<ZKPQj(*{NFmjZA9SBeODiM;i{Qi8=R zk^j{N&)UCw7Nlo+-7ss99B=%;cDr$8R&2EA-ZCj$Rc!VgNrmgk)Bu(Tm6Y$=!$Afa z%#ulix$HU$-&>!NL>GjKlZYYwX?{jh8$^|aTW^Nzlr+8b10CLF>`>{PgfA;rza6;s zu?6G+4d9kp;ZCJgIOoS_8I>~)KHWOE8U2fMzU4dm%s_swXVAzqkIw5)WzpJRa-2aJ z!Icuj#ktIhV3yHe2Bx2n%#?#;LRUpd$9mXVl*)?C)u8+1rND{bg<^)sWa=t>1~{w7 zy=mFpT3mhHac}WDPPg~#yBYP_-6D>pUY~Yvfs>y29Jc!`y07rU&ox_)B(I+7{t}+R zPT+H9dpLfD$2Q}Xh-T6};p*9W6419Le;^M&-R5ZpAJ`^pE?=TunO})Z1!+9z2TsLN zZh~n>+#V^N?Z;zz_pKEvLexnZH^1|+lC7HcE!T>W@1E7}LMQ>@{W7Y_Ud9%a?d$>G zC!?0qbbODvgVOG=A#p9p+lewmHXjrjNRvpD_Iu1E!EUSMkKq&z%5-nkUNwB8dt>wp z@WeZna~w(OMv~uwnEFBB6ZckWEJ?jqUPK6~O|JsS6oZf}ta!#vfl@ggh1@2tw9MMG zADQ7(sp>lw+!dv?$rV#R9QB?@`y;!B+qEgIBbmMXPF86Jg^np1&!bSYz@A*p1m_Ea zJQr(64u_)Xg~~Q#Tn}Y-$10KynP~wT1LCjNv=G$-ejS02>a<`<NXV55cQRPg+8F~H z;-M8+&bT>UB^yhM8vHbyvQL&lS6b0rP6e$h;?O3AP`MgYIUK&73P%I`&6M#j301R{ zjp25>*=90ZN(Fu;o~2qqSP+lxbxci09FVjNCxkK(mY5{ul}F5VnZs7^@Bjq4cIHef zeRaBy-w_)|Hb?b?8^5Ozf+JmD+K`x21z8~DaeB7sHvNjeRTx&kq}pp6Y>n>TX5J?V zf5ut1dqh%^&6W0IZWv9(jC|irbkNovG^Cf)>?Mjg$^df}&Q5$?X*%3e#7n>*@R$(S z6Yt*49>N_=<7ziO6#K2C5A=lr+0$w8F^iiCP+nDduf5fTn~X^kV|zfB{ar{(d|TG0 z-@5i`QBK=?ck-n7^E8}p2JX6aey;sZslob%DZBd-B-w`_y!6fFWK?9BhXmztFG`2z zB3`>!TG!{SXWAv^Htbjzms_ArkZ#m4?Q_;k5x_|kGTsj~CW;u60@21dVgu>8wNr|i zE)6K||BTq^o2hiw=G_NYN=<L9-sic`Y0;YK^qMX`$V}z`QxPHLU(H`|@!>Y!8<CCD zLJ&bXPx%eP+)9m(x?ptF_-2-TPTdv0?g!hEL<`fy((cjh$*h@tNxhp5AMF$p`w|;p z5x+whX%nT8Nyned1BMbc_}jf^lo<crvjJ-&(>oR|xv$FYZ)LDzRKF;WK7!sRvD!yV zt2DfBsSN~c@_Kl})L5es+@hH0ENUAIp69*P$@(GI=6ypwsM~j!8U-l#FO!(1H&V=& zz-3kPaoL;KLuL(RAvSs%C8vQNOPHIrStM!~-2>BBx>{*tfMj_%Z++KHBG!Et_;Vcu zAe~cJW11a~x+u6PD;?3)7cLUGC>wP&5fxlzE&yXyC2Y?yvCdO}SXL6&jN0U_hR?_^ z#(N0Kepdud_9V#8A#e1&d1JHj_Y3yBennp;@R+o33RehKk85$}?4V1{cD{^WI^&{J zaUE%Koen1@kX$rsXy2^-7%>4(am<|;_!dS?^LWxP`4w*?bkS}ii-NVO&JX%U=4M{h zvfk%k5reLQMSwh5W%N1`tg@Ff?+CfAPc6k5ct+hq*Mndx1~-p+Ep4TB)I7=H`ujJo zwkjLFHHWq^VP=dvgmCJP+uHtc%KqIYmt5@e^}H3J199{cl-a!7MspN19yg3<*H&#A zs~|y-_Zm0s>w75>9);38)C}p1;)U|t4uxH)5B^RH<6MA_BsRE~Y4uCDHtK&ZvvT!I zYdE_fbj)s&hW$D6fSKM;lpZ6&goOtZd+j(@6zv~8z9-f6;d<v4E#nX6kx2bCn*AY= z;o$@b625hV>9~JUK3LPVA*?fYuhgY!00gb-TgpPqoZb53@{oUHe|hop_5XeP#QE}R zdoWI}R@|MmwUF%EkVr<1;Mh}!KHepvd*3BR5gwdB2og^UXb#G~rpy?;LvK)%^!72e zqHcR@M(;%YJu&jo%q(16CmCR!hZ*Ac!Q;0YY9j7kx%DO;Y&A!D(xwkNDH>kdHEu{Y zb4Fub#43@>sp^E=j=>7EX6?U~$>-&`63!Hs4oyt|+Bj@(YO*UIZcG?Bb>W#^2yzWP zu@V35$?!eE#MM@0yuAF3t?YWCn2Wo)aZbcG_TNE>DV1#~s`tB_h-zR!XCJTOCe)Oo z!uTn>WyWUcDi(;FS5ouW8-RJn)Jk}j5Po%HG~qDx?BxV^n5UNyH>ld8T~o1OPFHc6 z$umvIN4GhF%@dx<=JsI9l%#*j_;+Asd4nre+(d-Q!eCb3c*e$E<iDz$ZA($_sokvz zWs<O;RQbrpIvk$F`G6t`-4Y`;`q*YKm`De8KQk}K_dMHI_L4yyG!a$UV?=Mo+V5!C zt3!xMf^3{X>pk<O^+?v!vU0#%d6n95IY@tIqVI15GKWb?^I$uE*=lv?3i*DAl3TSP zM*Eu*U)%vRRVQ9eFkh`V6?9R;bMV7aXt>U0L~T^aQG7ax1Bh;?YTS3p38->2>+6cp z<ecG|otC=p|15PoSshiv=Q6lrBk86)A-ARZQv;#)skx+Ie)?V03e6e)-#4_cC^Jin zHKL-L5VczYWlGHf!5VjsixkcCg%ta^kB+vf!z;zEvggvFG1&sjZjK6X0v|oeMULI1 zkdW4^FW+$9sR3(<Fv*2Y$*Uj9Y8_@ydYWO^(yXF#0sT>|0H`~}t~Ly5*+@Si(}peg zCRPyzEPBkrY~`JwdzpMoG51%OZQ@0YeXm@Hn8%m0eyLl3C-Tugd7OFqkx5N+_|x1> z5qalCSa{22zM;f*3+SH=ex<LNdA|UznXXvEQqpRFA#ej~ShVw2_5_jUzie(O><G`0 zV<|e_cFWBB3Gu~~nJlW|unSTfXl!5ve^~%)EPVEW3J@zf{#G1}4e^mcAa(>C#9Vuf zc(c)vu1Q15--W+zhp~^>zLUgad^$jcic-nk6@T*3_n4|rdBE7v>OFt|vyJbB!)fhb zhF3(w6<~(N{V6!!-?O>7+E^Knl5g(ywOi_%B|!;$5((DPfKz1W9y(viaAXO^=&@_& z$L~wh`^t+LM;%>(Ml*2&8CwAf^BxNru%G7@_e;P0t({d9NHruPkDaz=&a)F^Vz*e| z4IUIFVk(oxef*OU$qo`QND*?D{cc7jv$8IyKn?6#Gq7>QCb6CNv`m>6UU?{3(nbw7 zcrZ(gybZrQKK-T*NDAwX7lv=QAGr*$`mXC{0C!&Pm-Q3X>=WGKrB~rh9+}-x)JOP| znpq(p{i@&dx*k$U8oGCk3sLTrQKKFkWBr;yJOiNQDGr`TAI{R@g-nvB*o&;)2$?u< z1C(=EG6+h+21FRv>NyW?1(^@aW$TGHgYRlGYhndr!-SRFkdIn_L&14oO5_0Radp+} zw3Ynf@|h1RO2~ZpC%a_IX86$vS-)!P(Lw47lUD1&GV8ZTk^I!@6W^{Ia|P1Jg?-w= zSzjyoY8w2Yn6oDHc4D{Ut^si0D>S?XW*W-*FX|x24-k95q56=c=3z67QM#+Yonn3l z$==(D0|eEQTTKcv8T~u_;KD)Lot<(uN^!7<R`K+$1=zz5EIaZJ551>zNzIsM`5@-! z){y#J_w5pXfuiA_MY}d$o_ibP+q3oJbz`fzVvFE{or0YSkh1fHnDfm$ymkZN{;rZ? zDX#orzO$xQCUktq<ElPYQ?oF`S8_q3^I$0fGDcQx8#fJAU!;-Bc)GhVBscIaSfCjb z^v)YmpEq`Vm{bh1Z}!xZj}W9pl&_zoa=UK_#!yb64P?lbHTljS49D!Ej}5gQCLcTt z&Vp%hMUG_Hq0sq?gz#R9GO%8>HSg|Zb)>qTd~V^|Q6NIPCv<L`4ob9A{61hIqKvwd z*GcS}b;O~MY4<=}>U;tLNgoa5#SNjyp=1ycH_Ig>p}eX)DPJ{gya`=hp|!0M^jte$ z`^GO4aiYGn_De8)t9(09_Z7lZ?nXS|=5v6edpkqA?q<A>ep!6RF;K^V8g5GYCDn9u z#iLC1fZ`#KT~X3`kCw2*zH#B)n$rF0`!h$80d{XyQ^mb+?58A&_`>Zw0cd;GeUpcd z(>B);04l^=bgCPb@4jKpnB;U4-UPPN>c8G%HMzhGXP_Y_L+@ouw^dn8j+XR-CXNTa z3-xB~Qxjq(i(kWf0aF5KOc}-&74+&;^0GZF?y+9&OLa*ruyn`oEn*Q53Lm|wf88Fx zyHHnb=LD6F|A1Ft+>iMY7nZSSw4aQ(oEWxOU$bb{_c2(r1wz`hmH*DWCWJ&Twkt%U zcY0XBNcKD?@%$P0otfL8j(uiH7Hp!qU8S*Ds>)_c-flSt_~dKnXhYGsLtl|XCt6}1 z5zOxM=_FyZ*FWo2&kk&dXv&Cbxrk-WZ>&$eiXXXj!4E!9hli71oZElDtx25=cQ+fR zU)NgysBu~iNlc7x|K}kmx(4aGZu%@#Qfrgfdcq#nmsAN{>pMjSEQ=PugyX`yD64_k zLT!(0$ebC_j(2<Uo_Q!!LylvZU*fohav_T-z}+r-E>~t{nz-#+?qJ_EAZNi~ecO#O zpl3<L_7IPALiu9^3BxPyTDqvw1=vbATqRoXC_f)1eR@!usiV=D7R6CyZj{LFN>!w4 z_0?&0plWv2h!GYE2i<^vVN7=~4@0aWVckfs;CwnTf5vOGua&_vRXmq@pf<3~qPd6% zW=6p#W_`-hlW$xE`6pWW>IGfP$V@0UjZ5XdsRnDqX+CGPD`y1wI_vw&kS3L56ToL) z@eTIz7$ywIs-niDx?1^J>O~}t4hmr}=yx}vY8BL6KjnVYTpdjScs)v~++WH3^FR9Y z(N7*AO^hq=0`uKA*2bjylAelLF+$f?1QL%RHhlxex633mR^IAP`kmgxcT!FkiN3-G zw+I<y$zw0A#Abp7_VXmF9Zm)xzRaryud*YAi1(9>DgN|1nZZE$x8p<+W{l6|`M)x1 zL)-KhyCP(c1*`Zj2p-1-HNXO{I<aKNzylzvs3Y0*^P99Hp*xRok#qr-MES*Bm8d|! z#T?)&d{~#R<IAFSaZS@`RIL`j^EU4t-vT4N|JiGwnh+A?TUZRqb&H;@EVO?tG$U~w zsF$Dus>4D9xMDd1oZRh=Y{aOS6}C8!;7{k;6=kzv!FM08*iEMM^(hv~Ywrhs0l?Ll ztD0U^W~=ufH|V0egnTc6UU(J67p}p*${2qV*;}|xh6ycG*4Y~l$gg6D;(H6H#amNt zX_<tdhwBWQSa-WFTvXl9*jjU6$TuDZ1`J7UQ+is3X>04qWyTmjm4;`s$gL*xg4U&E z3E@}N%!S5J&U|HaiI6KwtW}o-povxQrtM^<4x!JvIq6O`Hrrv;c(aZ&q?o20<+Ik; z6;?<i?7e(N8_^th_Q+h3<&8e<Zm*uMKRG+<vpKZ}!+H$0ja#h9Y~!R$8e{Q1XvJzj znZiZ9!B>2mOKwi0ZMzK7H;e(rRHS)i)zr<)?0XA0$K_wt9+@iZ^BE)p2>gU4R!!og zt1D288~9j<+n_W(Ve-tN$VARXDpXZ7GV@Q|7?j5@4!vG}=XgI51`Fh`pP?D6Fz}4B zj#FRMF8RDq3#lMAXmz{F=!0-N3C!@=a=`Bc8Bgek9>Qd8=V(+bNHu0N$P4A0ZdJaW z7^Z1t`;Lw(hrm#}q!a;`sKFcKgh=%r)(GY#3t2wl$e`8ful14T46OjW+Vey2k<Kv~ zbr!bD$Cy?HaKg#uc2|j$c~;oA$PX>e+%#^ZU^ZtY%;_ubyj<?vC;jqs=OL$!D$dT7 zW(Xl1?p6KhogwAvSq-x>sTqZvQ?>68t_WA;>)u=M4UlK`5pWEUm-}Q&953bpZ8e{` zE9ygPLoefK73WSuqMfU1nJ0J~Slb`1aj6k3@YpDNZo5TOFnH_NgK&bug8P+X>a#Tt zWYrXHxt)n^RoZA9%86|~Izvj5?{nm<zL-Waol~i#wY}yXJ`V0&bqCVZwKt)t^qRuK zBJOT0k7Js^M!#g!d+TJCgHqypw#Hrqr5G6kzSIG*K&{HM7gRZAI*&57Pnlo$5i9!F zfmVu22v4&5z@$T77V+po9bv*lxKD^|#k8-yZx^`%rzfhyl!0|-)Cj9VOq5q`_Q&Ha zlB7w?S)4j!Zsw2UMb8kZw%tOV{wZSZ%bY3BSV~_YD{{6rs?2BEbhN?ZsKMEwV+KN- z>0mw9D0f8B98Wse&O4{CW@Ho4BP!YOfdLMI>5}Sd#<r$AE_SrrEQamah>EfnQ*)Q) z8#u`)RVnhv3~A}r+{RGRd<OcWYR#=$4p;L`TFHo^rK7e1tiIH3KNefH@C6aEb>z5< z*igV&4rx-Q9Mg2+rh$}>D~@7YI0%bKyJmN&?vjS#7U>KodUk`K*IX()oinI)EP4HH zt6X-mJUY>xPA3tB?sQTYYprpVu6_LCWPsbfq;_wI#`})+=%hiv<0C#vb9qlVd`v?F zxp*r!@tPoloP$x*MlL!rc9m<Zk$HmQ7!I_$l<u1Fc@XnC{BCzaBRuo1tE6kLigo#z zJT727Yj~xg&r@NQ*ES-AHVK@Ba)dcH&rwGXW|FA(ny#u(fIed?^T&4GX6{xhES5}| zVz-KZtSxLZVE=PH9B^z&fnfG-zxU;5;c|*HO+4E{RMHvbAjB)SpJ-j$?R52WkAR#d z;R6g!M%)3s%XYgBMkr&0IrT+@md#BK%9!ha|BDGWyqEmya${ps)|ygi-LiOVzA#0y zT+u^vVus`xW=bZ0TXC)hflbRW0`tdlZ`82o5WYxOFA>Yi)dFFwd2=4<**iA2=yEVY zYoDgZ3#V$<br<?einALSj+p_FB1T4Pl=>HIW==9T{(^0W=VVik{C7}ogBk<!dJc*7 zmfZBKkc5VNDCc{{Z~cw7In1w3Zd~den@J?wr?&CjY+TXLU*?fWeC!pha|bEeCjsvw zinEG(w}#MY>=Y59R=y9h>WQ-WHoj2OT@ug3$gqBymyDE*CpYM;^=s|GJ_C35D2s<h z-Q_#x2k{|%2igR^ei<{KvfjA?d5fEW!y#kgC0&I{_5|-na^UFu>?pwP)Y?+?jqp{M z8#yRDyb4iX>zZPp+;SGbM0nJE?W=n+cqJM6bQATovukkvk5<HUe!0EocJ9gJXuM<9 zYkg`hebsLnG1}$;{yqwXEt(oz+fqCQ)4KtOQaAs5<(p5pxvVWu_>Z@7nfG%CNg|gc z_6GNHj8DhQ<fJVZL5ITEz3zaTC+_S30vG;bxVG(Pur%}b`tKaRF^$SW=ireIJYw}G zaUHiJ(K}#^F9LiZ!|lGueBET6?42zIs<qEZ=A+zzKx%4$F}YO1i|z9g<qBxlApWCM z-R=U_QR-9Xu+P)h*1<tfSk%)*1F%K@I1A>hw&l3TVr_8<zQnaZj$GNNMQm3cJm8p{ z(@a+<r$6Hfp||%35LlbFmhZ!f&>~H1-N~H}Q2SejHVKo&b<Cutj0-Xq8vDeruwXms zc$BeN)Bv24^jbkr*eO$2hb>!e4PpA3(5E#Fail1Z{FnI&p<9q(z0|o~_QAnkF;BbP zmifvPWgRr*yvT<5Dp)dF;evsw26Fid!K(js`BjCvYsQS!Y*{TLqj7j4PoK4fB)-PW z<i7OQO`W<1l%2_C)A?L)9P!8h#jD~kR;N$WfE0ojL~J)0RIGbPWx&zA`Z2TJa;O}T zoC@NGD84&#kHf~^`~77uMun4(i+@h!gpkok*{syfbaQHfgpUx*opn2p238T|__aVh zcY-}B?Xbh9+`1ufkZ`~n3v%{1Qd-*GlEuw=^U_62R_b5_qk0gyjaxma!RKkU9Zzfp ztb&?cHE2+_5G4r8aAbyfql!&~#CigZ?cOY<Hh>!S*qHmEa(JADI>XqWY*2shO6$0! zb_6XDENsBhhN)ia+jk-r%al|CI(UC3=1KG>YO5GzTP$bluASkuDygQM1;gPOdzdcR zwey+H{LglkM(f>BX4ms{N0}rN`vbDn?WXOOs(#z-uNIlwy~AK9sZX4VdG1uq-*c5( zg_Ogo>YoOxt3c<pjy2IArkHdsb`b3|$xh9NB|LjG$>0Tz%I1pxdVj%yO;S5MwFw$0 zlh~C19HKTJZWmI~v_e?J@;qtsVscNH5(`INUmO{43SAx0J6((QMrQ!VsO_~;dh|E^ z5(DbdoOjju4(2Ga$b;tOQ`K4sl5SfV@CiTJPu=a??TXV$s-k^^2mB-RzlGB>FiELo z2<}ov1_*(cFM6-1<ewyK>IN;6_N9hKs_Q-d)>j*#e}_Zkb~Bk6;nlyL7c@GaBuF44 z(R1gI{=+;nOmH;$lc6?Aw77f1Uk~z!iK6*#wS(yf7&vM(2G4=@e9F{e*%}*>6?aF( z(2nf@U0F0<*i*I{pqkVBBPKnwx$?;j3YoZOj9*(kDYjq2Tx4z2mAi&<S}lEEpg*_+ zSTt;N4=f{l-O`7Z&D_-R;4BLBhER0kXRjh)8Wf|V4<X3kUj0j>FOz00U+<#P>v)Pw zsF^hanO)sPa`3uxV9jJ2ku5)~7S*R~rhupuZZ<DvpCaxpF%IiV4|wV$T}ePXnM zuQ=a5b<#0*^~EhA^oH}EQwASD8zzx?N#!SnGt`vcEAR7oWjm&u&D#XD$HMLwp>CA4 zy19N{#;vST4zcAF!QP~@hU5Iv2Ak%C36gEKs&?X{yY*n*vN<2Nl(d&9=XhNcAW7Ni z{$8Yd)FYs0*==EQ>qyJog3Rq<b{O)n0L_x`2dmsJ+fTBF;MRYP(e%*obKgq7q)?u2 zd)r~r4KS>>eRsC|Xmerq{`x_h1_JvlyDclFPw)0+A&02b$cBm}waVAbrk6XpRwm6v zzRd$wU!N_tYP`)eBavjY3q|DkTB9Df-`;cFuyz+{d(_@147>JL^@Rtqy0*e-p_otn zvvrGN+qI!o4Tu!g#m{+21R-wpPm7qhk`Z!~bN2E#)87T-J>`GekA=#|eM|oX19EWl z%~f_<`14x^47IM4giUJCwo`8{!mF`d*#>pviLCMizFK{7<!2zf7L0q&edBn7=Igaa z%aj9Ci8M;0E)}r%kGb_0{kX3&oAH~i(QMVMl4zlM#GY=A+R^Vi%r69Z?8ipZ8JnA_ zi4D_*%UWX`VM($9*AKxy)7#PK@_C(;vXQhgzTzS<yO3z4$QEat_j!ahs6FkY=B?>k zhvCYycJI(+ot{F{o-;%+tmf4RD0#Qqps0Xh3f0^4%)|^+pjN1Mi|ZGVG8t+M)w%x7 zlx||CH-yiZC_BC$QZ=95C9Zk+C4+;wC<J9NdprhHe4pn)%eu#6(x&HWLI-x9DYqcL z!cB7(9?R>;xj|EV`L1k}B(`C{isH9`%A1i5-xd`F8F>utYvl7f<b<;5R9|bIZW@4< zu)^mm)TIE~icEE2&a!)ssh8U|mnmIl^(tXBe(^yiUp^yx_PVsqtU>2od`!f!X~pf0 zs!HKDw1C`aFfC-NO3{!jgRf-`1_2ptmJDtboGnF;5FVzQ+^cwMr_aO?{q|Fre~l#D z_Qz&G<O4~g_T5<QeT3rS#cIn}SS-Lpli0NHp7Pp!oGg>$tv6!Fua|RMb=5;+6@F)Z z@=$w+^|bZR+wftZ(a&tHWI5@n*YRnXGT%4K2Nu<KHf`U!>;C9w+#z`9k3v4b`c6Pg zFP?{8`>6R1P3m-+=8lM-@KtwmNN%QgPb+IYU}m)1Z=c@&sEJ9+Kz0{_`UHeXP3;9g zUP5Wx?ndwpw0tl5=G~c(5K1))N?hggTUk>uw!3w^iYk-CnpL<S?tPTv0H-1q^8=Ju zkeh>pM=!a2Ahl-PY4o7x;ULeJ!!ticP4!@=z225$EJtR+)Wg-I<hF5GdeIVLeQ+7u zm2Mz9JE*u|x}9T-n}2-22&m837t0ba`CJ_6ot;e&y38KbUEy8nr{=wG9;KhJUmt8c z*h!sUic_pUk@aoD$<!lzi1)FcWyd3n9>cFo(YQX$o*Iv1h@bo}QaQ#s?!WNA=o9~G zs_>$%WCZijxr#=E`1-eo*}3AY<*VdvZ`z+{CbZjpv=g9%m475ayer(DnR(UeImk5J z8soW%;m>b=q3`H^aS|KHlh6k{PcO0Gbn42q60B(02^YM`ORFGBE}OdhDOCE7l>Q^S zDvNw1k80NddwZ-^O-!+-9jL+iB2>}6{OCCG{)kgCBN`Obj&9e($$8G4KCM)}s|#@+ zU|&66N(mZX*g^oQ8f8)(;U6UPGQSl(nCg`<KS*>sh`xawzw-d|^6f0{7Z@upwWsV@ zy9c%UUbL@Tpi|Or%{$Zf+FH|jD@)jlge*$rpJ+HO)ASTBEDvfYXhxcjWGuDseTP<@ zAxyg$Z$udP`;Kb8c$1$TWUB42;j(->HzN?Y5SCxh0|LS;%N3U2yq<FSc(RicyiQIs zCnJ?7V85RpJr;l`ZkBnVJq$#UCO~W(y%3l;v1ZX!nOI)lIYrhMprO$kYZ^Oz+%P%D zW2I5pdfqZ7jBc<AD;C1BPJ_rh`)Dc%E~&&I3~Ta_TBAMV+HkJK9&*Lor_raEWJ#|y zICk0X0j=Xy=k-6DI^9Vkcmsxl<|3q3H|dtC?}KR&xbBjPJIj6~C*nNwaUce-)dudA zN$Y*%PZsspimiGjc1P0jX`>6u7Mqc@qNY5y0YYiDfe6yrqB|2#djgxuc^eqkwddyt z9Ex3%K+cIz?{_R}eHfT1X+mpb9cS4nCkG=Afqt6jcn0`RpABAmbEiX^L@cwdf(ON} zqw>xA5FXzJNdTH$c;CY0F~Ri5#Idv(BNdwp&Tc#_toZylGT650EH`m9h`4@O*k~eb zebZ7#^P0mTZc}E4tfRt0KcK!0;9@)Q%O4yM<&w3`Ts4ilHiNneaGBNB?xoiS-^BvT zNX+FNkb*^T_b|L+pdQH)PmVI?sJZtQ>s$17qdh5aTdxl-lq=S#wXFeuHJ`#Ph(dYH zn(nU4TbM|@FGhqZdsrY?bDfmX2<6CwHdfjf=E@ZFnO20-qd~@T+89YzyWty)3)(up zX3TR)p1dDs#gZ;3=D#xEWYIw_5z2sxYTZPDB(v<0rNDe%``nvhiVy8PVRdfb)9t-Z z{<y?0;#WkDE}&QyV=WNZP=&{f+(zp$D}$(+1*li4?Byrs%m=gy4pX1{GV5lG`(c>p z`3x}?onu;ip3a#|yNB%(M14islTOVuFeh)NXqOjpHTBYv8bFZr@TyVo!wo=P@k#3a z{MWC!F;(^}b+v)~%t`%>eA`5oC&#|4*9n5b`VBi!H{IgYaZp2{xw<muo)d^kp30aj zylr(wz&(Aq8dcfHrGiA(CzamN_$UruGNu5=&16j{`T(FFk06RvX3+s{c|;7$2q5N( zdr(vxN-oc%tC~NZVrGN52mHMk4NN7GLyfn&fo2#RI~ZG&R_*-nGnS#-?{KC-#lW4% z>S(vQxec>?gun__Alf`yJSZfbeSAE3p9tuT{Geuvb#pG9e^nrZzNbUd(rgVmI1QBr z%eWb|y;}w9RBFt<dWz!A$J12JjsFsy6vdwCqvrUYzYMdjt;MndW<XXMn6oWyw;SFE zs$Q;ldH6)dh=&cKL&et(jI25_)?wusSc%(0vK~)kk;R_eWJNi&yPf4Cwr%-@+`rYp zmv<x9GXl=5{7&ZDFb2WXu#+cfCcoPQ3~)JzCM4{Bd_(|GBLKp=9iFX9?z2`=e(NrB z4n1ky6mMSfegb~@(2sh@F<Z|m`Xo%BbsLP$ldoIfr1RwuKioVy!gp7=`OkV-g|4Os z2M2bKMQ&1q6_}4iT<^pUSKQYE5f$(Z0XIC9;~Vs_u<J$&<2X<ZsWHuG-uUN`OXb8x z`}5knoR)f5!r|VwaMm*_=VDjCDZB^L>cJkFig5xh1hgYZhgUi0|L1}C3tXr}>E@83 z;O2VyEqT*(fBTh13GP<K7DUsc?k4=gX{6<~Ra~Qpbn3fB$o@3k&_H*ir`<qc*^&X` zSAA%jOLV1k_pD|g@@v62scCN^ukTvFm1ecE?b@&B{M&xbIe9!W%ztsE!Go6$aFbj~ z0xY3X&*rX;v2xOpetoCU0e*elYkjztrjJp<c(SD<pnTn0vlAgYAVTxh5$i3RP<_Mf z=goH7-#SQAd&B-{+34XQ)<&r!oLYOsw-8NYxDj$C9;cl8`pL;x-;1kfIVAr`duA#S z9sg13S_g7oh775A%B-nzi=y$aKTpsEFbAN|t;}}DP8l=bkL%4AFKTQV84iC=fZzuQ zQ-LBM+>;aSnYA9W+eI8Z>qB&y<x)wiY|mG9O2Lx09M^HoGptYZ6Cgej9;S!xx)2!c zN^W4qA}Ge4)r^~)EAGkfeH-1=9P^Ar04T;jR;%?WNk7%&`Ww9SAco8`t_nD@bPO8^ zdhzPvb0r)RVM@H6Nqg8k5ihGZq9${&(*O6w&0fD0_2p})Pye=jJu$&^DtKtDR`j}9 zW)m{{la0Y885*wCr2FtWvLqgU5Q<Y_F2*(FEtQ&ps_Sq;1#0TBPFw{gF4Dt=XA**7 z)}YVq<cyg{^%`1mt@<4*+7HY{eb}C2S|D-CHDC4#aT_D$T{?cx$vEa4%7{cNlPY~G zga9WAsDww@bk(|)Gr>795$(~A$P4ve-oaMf5*!Ca#VJz)CJ&dT=MmHS?gYsuPkPcP zR%4fcVl>Qi8-a3&qIaM-Z`@JA5?-fW9E@d%lzQeeFM`YIncl@o#U=`*CN4*KJ%$NM z|KfcC%3mNG0tNp9CwlM`f}{=N3TtpFpNeX>-K$)n4lAVMd0mZd-`n?1HfuInp2O}C zhL+0od?#qQum8}hhm%*nnaZLcr8^Qap`qRk$85Tn*(zS1a70%*7EeYWNs|92@<*Uw z=dbTytq0S#?SuH-&+Zm(e6e3!vi_a_v>j`7Z-RX_*IVO0yBpDfI4X?wQ%I&y+6~Ow zy29(XSus{->#Lm%qwfWJ9_;RYaP<v=0Y*5?VH11IN)Xu0*OA5$nG!1?8_8+VI<X9c z3nciiX1bMZt*|s8u|8>t<}j99as$>+yOZ#_ZihWxcv1hTwebvIjKM&)og_NyBCn%$ z_S8)E2gHe|&VEqE;zPf7HKI&-k*alSh$Uxodtxp_ZPr|Ra&m6e-s4Ix#Y*5T1JY2V zmXXA#SDaQJUdQ`gEnd%PeB)L6K>bN-Iz3ObN(6^N{PMwK)lR#O)Mq#YC9t$5|FsRg zkOcxJKef8YZ9d%BAK07Hi#W~xu(gI?GpX@DCvjJ^QkN8q`FPB7)3<UpSyU#07iIP9 zOha`B{wxX_ueL@-Z4n<f5N^rk!0@pwT2B_7T~e>cVBg!8lD8QemN#YNuL|&<WM;Vg z$L?y5$%`eP1ZnA(De}L$0xsw#F_(-`O70;n_1Fc>{z<yGRX+rDIqd`|S!x=hjwfXe zbd}%R^TeW{*}9KkGiiFLdJhih(=X1U3}`1Uo|rAjysrMIIQD93A6>k8Mys~LmD{^~ zM?tf0{(Rlt7IYJvqIq7oXh1pUFj_66c1xQSk0>CvCdcv7aU*S;2e@?mEUw2d{8S-Y zE<=5BMJ@-WwF9+Y_MS3#1BpqBn(Y_7_ZR6&F%9pBuNl<%^QHdt@l3tVYR!s;6zlT| z&_w?SpUFCTogz4!*Kw^dM#gJ^CM&hATsRa<-8J?yOk^@Ev^A||WDGKFnbiw3`MF9* zoVkv|gkU)|y9eB6e0T2SeLwyZBsDXwPT#g`Efu_PH#)34?tag?n@~G&N@6>Kx(rL$ zzYAfKO|==Tz?Fmg<?PX>SLT0vjcv)Y_6RwyR_~i(t{W(I^=!^yyQb7Pq-K=(Z(2pT zY_5~7yxM!s)~XP4w`Z-oZvoop+DX4W8tszHsb6C$xZ0}g>njJ5e?ImQK*u_6{4LD* z3B{LsbL<PERCGQq0-1<}5j2|CH`OU{@f?VqrI&5{YUJIA3)3LMTDGJK5aLWO1@$p* zd&F+`W`mQmY2VNTN8hsg$~ze^m)&zK?AzY8liB*3=}6CC;4_;Z#4tI<<sg=C;bDiM z&XvhO0~h$S(|Ih$rS=(h%JY?tx|ce;MQVnUEHet1hmHHi80e9gYOcD)Ha-%D&U&+D zOi8JNCcvN65~Ud757Fi8ems7U8%-GXLiBOFtmP0HeX&!Xwx-uKIRC+#oG6UyRiZ2z zlLcbjw{X+xxb4kSTGTBk?=II7aq<mG$wKtlX8MP%QKtGxGf_$Yv~L*kT)zEXfy~up zpLS%F-I?PR%7Z42$&zAd-v|M|)N6{(BZLEXxuGJN%S{*19c0@~P~HwFMBnOke0XMg zA8+>>9yS7MQ9QA*sh=N~GGcr+z6LDGx}Cd;ermZ7VJQt%|AdWha{~{{W+EF@G&eQ^ z%ps#^p|;NE_}9Y8%T3O$JMR!OXZX&{ar)aiM;fg+z?j`Ksh0CIHiL+q{5QW+^T#lz zme!u|Bpp!t@|%-~{G*v=f9{6-%tkLW55f7H2+aOVw-_BVBNkvDd9;~mP6}h9IeN*W z@0R6vRp>E#?Md|iq3SK8@@SfH-Qd9!2oAyB?ZI6i2pZho-F<L^2X_nZ?iM__ySuyl z;r;er>zwmve$CW$_nPkNp1SU;y44mefwoohXP0KGWBP6PPW8r5$F>YrygZ&#w6|*4 z=@~-`FZUTV&8ow<)_u_gV;5D5UR8kVDYwe`!>U2BHQL%twe|6&|9T<Ix~q4c#38rJ z>3v2bD23}KG^#w?y{pnZb9ZX!lHW7pUOCvh=H8T%{u1*;$YEn)arE+t=@6SQ4O!}F z+bo#o1mD^>`|;biZjiR-gi?!9Yh7uLK>fV+&L^)!HtDHO#X=E@#Y;otK^sW&z?-ps zcQO|W5=xwR4Qia34>kY4=-NWFZ>s@$k6e&3qE5?o`9URG#o!eSi3CnZQK#r~6?;d` z`<%o3l7)!*GL^x3rL`e3rcib(Bu+;_G)LV+);RO24Q)+>&1WNzHRYFC8!Ln8@}BRz zyVzOkJuiCE?$#>W?Tf%j`KYw5^S?jZ2$YQ~st%;SpCCUI`3fFGYSftAUP?U_%pHSe zp&RJ38{0&uVQLO&p|Da_junUHV~<H$UfVLy@6_&N;~GN`dHS8NTVlVgO(e|TOh`Go zWEGQ1ZLWRaI+9WrVXX43pyO8pH&l*yEa%de_e-&SnZPNlHAw*ao-HV_>mhe*`1(!l z(bAuEQ=+~MK*nXZP;WbKN|=Y&L&hZ~*dj=)-^Fd&R+fMLSqESFf7!mLpL!nZV=eLA zshe{NtXi%Nss!R^tKh_Q97+fHIQ{(Yo)F{HIGj1(^YfB{=w+iK{^s!MIxt^D<pJd_ zg}U5;wXgtSF2U3LE=)LaN=3WP^41tJzuqvbyDfE<w5+110+Ya?J7_UWplj>Zsl4Z^ zZSBp|(`f6BlPI`>hQ9F_@n~t6IiB1JI^4(Yqa3)bDr|@|^pay&jaF`m-<^kPn77Dl z?^D2qw1oD0hMoKuO>o9!<c5#yc8YR5w)HX0pCAFAFQ1hrC<o%aUC(@pUBic%`G>Ug z8?<sR!sr=er(x>6vfPOaotF*jQLLE(n_2;i#$eohCmY%YhrVuYdec!rJU8L{2*92; zTQwmt&dIaOKzNgCZI3$ASqOKuV1d!&v*0+`zczaWyU&;Pn(6n(c}{cCrrD?=K>y63 z8w9-C9nEs=Yjb4Ktn+90@ISoRj4PE^_O$JLJ{Q+?{0wT`ZO<G%R&{f}=H2-}ROQCh z*JPDDe$iDpyf)JUR8Y6If1ByZ1#obxgj8u^YObDWOlah7<ZQz*%w1=?sxRPWvQK4| zR(2<rR##|zEkAT?;pKJvFO>g)(o;t&4sP6KX0r{qvq9hqoV?rqcxr|)^#8**n+5*} z2ygbkEub_&{=ZbGpR`)G+#6n{(z{lg2Ye^)qEq9?-X|G?Et>;+WVdq>4`Z|=u>~Kq zRVlpNZx^M!IL{v?VP(G$<*l)5ys>H@G+xvQn{h<R7a|n&wK0YjHVwK_ej7#^^1Gfi zq{bor?zr|I(};FLk^DP+V99{4=}p|5r$UX`f8KzBxQ-?}F2a1}$f0?#F*YsrW8KD- zWW<u)&Rm{J$Sh^X5KoF>faS-PmL|F%m)LAZNt#1sDb!4R-p`$ob9frc(fH2{Ke7DR zi9t17Rg)bfvIqyouO;?i#Jq9qAKPvE6LME`Br|YHISjB2xS3!7y*yI!&gYow&?Y&E zu>$-EuRDq#qT18{%<z%kg!syWNF!U@l|SxT-YT=@fjo3nm~!JWJ~6_18kD_J!%VW@ zhT+Hc5X{~lx7}%W<h!8B4jYB$etQvr0@qUL@&D%x_=#>>J`52>F1PdXx>l_IiBAd= zd|K&evvDOjpghIQ=lySc$%t#Fpf~WpUeQa%l_$Ztym)<k0yA<5I7|Gm*Mu&g5AuGq zty!e-&g+*N?3|1F-855~x6N8-XIUvny!@~0xOSoNY~DVk;~A~JI$y2M#35y})+GfN ze?_wW_YwiZ?2i$iWUCkp9dJ9-YX3Z)^yhy~so==Ynh9r${Lim}*{GCJEWY8cR%yot zDL^xb`F$W*<pm)-MVGtH3mq?C9LVe*vzkA`SUW{BD43Qsxt9GnVoCbjFy8?pO2~jD zYGtXT*#28(%Xpov=)=3uNM|ZEQejftPqBFU^ZSOlA79%+qM&~MV@Ii`vp(PF9p%4w zaW`8PY-5(%#aREXlmA;R|L-NK<!_hjEW*qhv{u@f8wU)-J5MWU=}+2T86pI<3j<S= z>S10yTLojCf`>Q2U-03~{VL$?)@Ip{5>=JnNIiM&_q)xtI?M9VTc`yE4hulX!k_$H z;I-ah_|?plj9Z7e9!@Q#rz|N`h6FdRgxeJF>n+l>1jpwqoGb0`yk;wgwXLz7ZOhBd zS^b1`-4h=103+QD-NAp7lrXTJJ&6^xrA!IcOJB8lx;Q!iZ{u%#1s~s2Q!;OrzIaD_ z3lLhX8M+o|J!drH?U7VlLmDc^wh_-9ZFi^?v~jLA#8Q85tY(GvgiiXHDMBCBRX38h zYH)j@kf)Tx54AOQFwV@B3Qy;VwJX%M)GMXv=KJ97XUu%OpS-3z@CGt78GCU+nUynp zhc#YpZgF0bLyLNk23mUB&G`Yk>%utnv((zIwM{K+RMd_9QE`0QS!;GJKH^$}Eb%EZ zG4^=UN}<~dX*D2GFh4j!0Sh2YTtBKKI2XL=Snw0(U~r&XO_mhX09XD3$ZCI3AA&jv zaPw8_@|t9c(4}y4PvpK(CyDHtrxY8@zL<uH%Pg233)y<dL@|DCo48bF)9_=9^js8+ z?RulefR+l%jLrHR{!=)Kq1Zx2k$e>In&df`#8!N3B2LWcusSc0%oE7{hiOW~I^9hR zZz*2#xV6LHd~8~wUgKggs#wp88}&T-_nsqtaS&%RwR<&w!y~pN-~59zwy!ET;gAlV z8jZL9#Ph>22@M=OIzO7t(^NC<sWTZhWtdwY|BY(hI4Q@bO^bzABTh_Z&r;oHg&qrw zwc=(@H^$}hlzw-v%?HRnjy)<oHsMI6TVFDhm6(o<XK2qU5NJwjvy)&cBqPx8Cs3#p zi=&`kGy+evnCe{p7@F9NlxrseCS3>&$wpzfgPozkKc=;<Qg@R2j<1#vjTBOv!51ah zKb-4!tEY4u((5S<e@7I0#@v+EQ49y?_UDI*`>Rt+?BwtN>jJuxvedioER%G9S=q8c z#SUlP*vf}MX-~z9pyDSwan;S3jP*S%ofTTsYohjGX^d-Tv0{|VB^}l~*Wer}#u}5? zD~8>`Ce$U25(HWpX(SkV?wwvW1e$I5%AleY9sX@Xt5+N_R>_0JIZmp&FCef27e#2d zEDp0}+J@Yv(*P)$$MDAN8ydr^nP8IzzIfyhteD8UPH+yh`7qBrzf9f-1rMWcNx|B^ z8P(ILsa2V7_7=-fwQ_g7z!JUj@1F(BeR>{RaIBGeie#|M{9b=#qWQAafc6-8ar0K@ zGf>h(eb+aaft7v|13goVOx)m-arfiCNl`ZjAvq6a0FaJJ<R$lXQ|Nasr)Z9_#N17? zR@sFM`Zvb-FMS32xuqCcqrm}jbD~}IUmL@*tOk>$RJdGmY-o{DoORZO;+;YgcdiOq z!!q^x968F=Tui(?fm(!7|L`j~Wr%yB<2&5xpChN(6^%OE{CY2B2Qkp9B@?TRjzH%U z3dLw%JixD`VtTq0$fEIwHeN$1-#&lrNjz0V1N@vTMK2dWbTl_LFzg{e_42m^cKR+M z|A{F6O&<=gI)C2J8i%W&a=7=DOoV>$jxpXx|2cS-xEwwflM$VKkY#u3r0(XsoQt2m z`QS@tG(&4^3w%w!i>2mg`wE$>W9J|;YVhM9TVTAaVnS>lH{eMuM!S2VD?&Y9zi3Z7 zZplJUim}QzaEF!tP*($Y>uBdxr>c$2d~-;FofUhK#T%{SL9!pO=2BWq=RMrv1ry*A z_&vzx#v(GcYELdxd)dD`vv-hjSv*o=agtiP<T4T`MBW$GyWcVE1sZKoij`j5T~PS> z!Fik9`*7Ygs@nv3+qYu5ou&=4(U+^G4_>$Z+q*phw6*XS;2Z5;ArJlAanGxt{USu_ zx}Ip4(oW-dHpsF*HRbau+o1Vhsm0-^ji1e3Nu5%&mkhcb|7MuyL|OUZxsy;;pq0h( zExonOi;X%Q9#2UID=dgYw{M8B@esFu10TW>{G@zgA^AG4qpSRB^S7tNGlt5Uiy!q- z<{1rYA=NFr{ae;aN%^cry`f+W^hObctc!lnd?|y}R0^diGL~E$omC^}P$xS1T_-0^ z`};&j-nAnC<#Lf0ty;HHkLduH`pShxhFJquaU-@fax`*QGL~bFe+=4KLk=wMK-@(8 zuEg3xQRe@i*lu$8?6}`_h`7>!NUYg^%0H??pJ6QlnWUtx#lP#or7e}~ZI{~7>P1Dc zs<KkPYrK9doQq)7h;rx<xxH$W@b*VQPxlnmg!y~HaU0ZkABk^kBr7C7?d7s0+cymb z1?5r@_<WkZcxmKnXC^TaX9LhPR`!(Q63A&(h^$^3-K({o9&hy;xmGPN{%f%oh-oD2 zKaH^psj@aO#9Q1DKdG~1BYo{<L|U;GP-t+3GG|(*u>d>dY5#CQ|NlyL{*Q9UZw`7W zW~cN~DaVJKzOV5Sgrxe?8$3u)mRPvU%_Zl}uWkych3aB0-Z(uKU)6ov3reum8mg`6 zKlD=0B&gB6P<jj@@r0LBd+U&V1E?;Kt@%TBEei+4X?F{UDR(IjNfrC^Udoa6ccF&s z4++w_3+FL)1Tx07i-wa5XxZ;djvnUv#}0AS|7wEg%uA{pU>41{_vDI<o5w`^x9!f# zv@3wTsh0lffXU`s2j*~@tcl^8rkEQyjb*3zzg(J8fsUr96MNyq2@8^Uh1M3@zhV#B zWKAVX_{lTl3JUDgOUPWkrFbc2B4?!1H-a@rX|-8b^=7<-8#mkhLO6cd=>7=}4?B|P z)yevzo24OhTbg@oD4t4&qQRf;l!P;HYCZtettk>~4rgGtPbwNZ9<DU>3+2}h@t4M; zMT;_&pq#ezvlC}3fU9;7hm=l#c_OPaRu^R$57ZN2^DHnWld(r~jMSNLJ&}_R+P<d- z(@ZUuwN`5KtQJsLD$2g{cPQZHtMX7Fg2wieQ7IQuXcw#gp)_DQ)u`cCQi~n^Rv`r< zrypkzhhk^**y4KeDCS1inR;?W>bY9@D^_v0H%)FE4{ondWwaZFPUL#3T!^QcbX83b zjOaB|M(6JTWULdON43?UG-kR<oEP$V87`i@=g|nEEP$fz8BcGjh~P!+DRhV1QjJp3 z8Oydwc54ipb%*Pt=av@gqmGYHnE@bxsFnkXqT;y4odOKrb^^?|EQc+xEIDkH+60RB zqHEJ5NpZ#~4HeLa!O;=T`6ni`O-d~P+~;%I(PhNx4WF=7#qV$(8gMGbgB3LXMzryg zvJ;rb+RNeG`zJt?-uLzqJ6w}C&P0%bC#TUcwl#Oc71!O|+2g)5nCy}~Edr7SR`fI3 zY`xi|Ti~xyAoAxg)`~D0BenMN5w_UK?X9t8#v^am-^!${Qo>ra4C0z_#@!?mVw9ef zP_TBl)?glEq#jskh<*5mClZTxE6E&p_R8}XG<zN;*G3`|y}%3<%@PrSAl#BkN{kO$ zI&%HxsQqc0d;S_5BjZ-j5m1%btPXq60;b()V3C2h;I=o@b+`0aXWgZ6dB1zAOZ+K( zTH_JZZc5fcsbI4h8dmVlgJrmaf5Kt6wb#-geg}h*z5YF#aVhO9<%!jy9YdmM%Ko6I zG*68l$F{Hb&!P?qn*_w!hzQ)2-BL*(sVhobC6eZ6wt+62pI0@G^+4V9>=$K;(}gb6 zdD%v8;|%LA3KkZvL;?3%TIYrDsB?jTeuzQZ7(&Dog{SxvROhlV7vK1k71wSy;nJLj z?Mq7I-YS{F+QxG+kbO9iJ0|LyZ}+Q2r`-&irf@=vUbuyptcSnCPuq<YN7-|@wFxxi za=4jY8n|ux(lywNFM(w0l!NUW0hXF$W<@dP2-Im~*Y=NExdW9*=vXl-y>WxFMvQ~n zs^*B``Pg{lMYv{IJPn=S_q#0L0Tr~7BH~4HQcZOghoDK(gXm%f=jpr{WoZlbVf<y; zMc76E7Wp&-OS5Ni(TU%<s@(jpHmkc`+W9u^yt}xIu7T}BHQ>o4ZCFaeLw27_lHzda zqC*ZX<Q0!%RI!qQP4%pw5YrMolon4?k*M-JSh2Fw>E6vVZ`HVzgs&ulo3!~v-%MPt zv#lt>=8$ASa;`R)2*ZUq@)(g7EX*yC5f!&RT76mz61Aj66i`W-V=;Nhg|RkW;BhJS zF5XGHp-!`E1;S4WmsAUPjH|48e#<zE@aT3B^etdXl1I?C7wz_WOC_d%M7{Thmd1~s zclVlM2GtT@m;RJV-#Of&F?egx-iA-fjmbUiS+LVkTTJ?#N)1*x7AsoWh+BY^kBp4M zOLDFhODSffA~VY}mZqA@1{PjJ(&JmQ!^NBV+E?HT0Y0!s9o8t0E@JuB>ZRzD0(Jzd z*wKM~vFVGmR?RSizcEJ9y``;QBONmv19B5KGVWq4j=Ixfb&j6o$?2o?;gKHmMLX2> zlq4$kc$wsI6i)NC(jtb7K;D$eXvu4~%hVZ*)r5^&<n|yHxI8rYd%5hwJW!9SG;KUW z5HUXju#!99sOEP?j%cZ?_&8Ziik>wzNL~%=h<QYg+}+_WB4V7-svl+fgfG>MP!DD* zd-yId;uJd{UYJvt?F=?2Fp?s=m@guBcw2EZZMqeWG|`AkAvBt*^(Q*(k;irzSvr?K zLC`BO_}kalvX>z{$JN))MV|XuXe$oGUqSu?X3U6qP@AE88DS>P$SN-;@bR$`P+Fmy z|1D-jUtyL8iRUYBm}5wWRTqnE=!>0o<5EFGX>@4qI#JtrqU+>HH5TbnMGUD*IM^m? zrCKCCd{<SwY1Y$vJt|XK3yd1+9=dwAR(D4-8BS<9@}p-|)Yj9{84_c+bx*K^wWz}e z2=0u8TLSU(BvwBXOx1y2O7Ja7b}@d$Qk}E&R(=z|>Q)VCZO1A$*PQa@;Lj5`f!jfn zmWABucxcM;GVV+_n>@qY-ffrc&=XeKKay`vwHnCXD@~$9c0jgyW|ib>(6tV)m(V0e ze95=_F(|E$Ln?R$(jNOm7E7lAdj`D-^xKF|>}yAom?PlK=cIr<L@l{4uSnCRiwD}& zx#U+nv9kurPw5V05GXM7WsGOsT;3^-B`;2i7>PABW1503r&r>4;?|$4Y?tp}gV9NB zZsiyjSXFP^-)3Xdw^O@%q=q@HBJ;8=aT=F!Dr@%qQ=@xXGw){2IVfpXl{8`jbjU}O ziixy)l1H7Q2Sa+U8tLUF!?<JW7(VB_TVEcC_*l681U^oge=i;It-1}XGF%T?<BX&0 zoL?CkD$g(K5S+-^G-62}YYD?5dIydanXRF~uKFMXdu=eq&NBQ?EnV7)s>vX)bbIni zQ^}$D)V4T(xylJ5>3o;u=}tDV=-_rqPj6a2ejrhz<>fs^1xan%F~t#l0xpFfU&0Ng z@Gl)E`A&$s#S+VJxJ9j8A>?e0k<Kv4jsa$v$APlupw%I=uAM3-l8>Pkp@}JIIUIo# z2l2sQn$<9y|LN_2og-6{crW8_sjps^Fzq*i6#&dO9AgxrI`|*{PUZvd)ZM7}k3t8J z4rVd!KL*pVxY&=BX>Q~3GmS}pZ%6HbXjqQw(zz>FD%M;!;B09}i#z2_g^7J4J!Ua# zq4N327u6RVDPW#u6{D2zF+R>F)VBTc`7(K=hqY5$()lpdbc|ir>ej|TPDMu_`VUpx z>M4d3#Vg-?j-%H(ZBYT5j`SYb-E20l7F#oey|CIz2zMS<g^tAxS9;W?RXG`sNn>=X za+`!F>_-LEVV-vw_Hq<ma<SFy;k%yi<}m1k@(F*kvpeL!cPb}VJ?86KkMFhRX6AXF zA?81iN*P6@D0BRK(SjzuW$U+5$3H3ZZdE3X7^MVm52Xm6P>+FW4QcoBr1iV*R-Y?P z)zhbASELBk6Q9GJf%VHtS8ey#k(_W>d|Yf=t<L9!T)$4>qKlAFQ*kZi`$|IM(~EIo zA}^n3p^_F&Yj!3_p)8Pn^Ys3dS-R#ji`uc@a?ksM^@bizch35r-Elm`(RKoNj~b%8 z^jf1SjUM!zhzZR8!Fh-rmgT#c*|2uaY<`=;>STM!NA=pJrQJi<rDJacxU_Atwx2*T z$l3revwfPCe0dkpAY>p7K7YH?eVcZDKeqLruNWs-_I6wUdrSCy)%w2O3TzYtS0%dd zn*pu1-iOkzomc%ieST8`wtS91o9j$3y6PtO8CX#Pz^D6JafL$sb@Ex3@8z*4>wQE{ z`}S-Yc;dUybbGMOXEn6gfI4qJnhwzc4jw{>`*o;v9R~svy^}2C1Q_E9A73`l-`azY z<-E70=lL$0D_<AU!x448-YKWAJOz9%fu%E0M5h?=m{S}Qp;+rUT*ArA#>!C|CM5%w zFYN((N^AG?4`w&JBU%&{L(<Ak^Eeed)G;V$EnZj^u@kRviZ`1#muFV0Jj&^^(i<!L zt4Aqm<)d{yPZ3KQ7cPC^uPQ7(Hy0a=l!rV2nC#$IZ*G#ZI#BTZsh~>=+1E;=nx{BQ zNuf56+e?b!Q^)7BzrMIm0J%5lC8fj$H_HG^#!^dU=HYCzOGYlYOO~qSR<^WPO*0eY zwv8&K!Y0c*!b=qQBO~QeWjgL#y%#H?=%jKTtUfs7e2(KK)^P<Ua{O;Qp=qjF0%-SW z^-m|PE-B5k#k0#Q#zfX6)~DS|J}k7A5pNc8)vb-oA9aLUK{yT{iq%cxWr{xK#&dus zNg}h&^sX(rB9m&xF{j>pep@clwnObB*!qvvWu2Y!xqO+Sq!<x-S(J~T{Z@GFDYT*R z+_I;mJ}#m7$%|$C%2j#K#(pQS?L2Y3Bx*?WV&^Fk%C<`E$G~(=#iVfxk}N}{cM0#$ zH>-TNXK;c>3~(6SG2^Y&H!X#A@(y@pp~x~s`$+L)@FdDwVhlZN2qY(d<c?|e+ReXW z2EW_67u-1IzeGs*D5Xr|o!p;NcFD>?AE|L?^n*RfbyF*5!ht&Oj{54>#B%{RF(#_> zESVLGID4<8AB0u!NSXMY4CGO(x6g?8u=(Bz^I(l6&jc<i<o%=_Ia-ViEkGxk_o8=U zK|4#m<NojJJg-5a@g;MpZS|o(*{<Z8lY82EaE|5oW?B~8&r(;!!s~(Z48{vOYTDih z#J68PiK68K#AmLLE(hL^DuY8P`=)}imIK=OSi^u7QN#c)&Fpk@@*Ib<s{EP27*bY= zf|-YHO@_{b2(PF!f!0%xQGl<G`=vUek|w{Nej9xI0=U0i&d%oK;Kj|Kgd6tIHw>2G z&*f*(zWw|u5!J*!S3g)QamK|`?;%In5bA~5I=V5&Z}|jPSWEvF-jMZgFd30CDwn<? z)LUZeBWX!0&WD7W@lIEuxtl=0ah48sxb=7RqW8SCjzJQjg`rD@38?O_8sum7?pG{Z z#O0~yuM`(=x(TSP%LSjsfSL>Um-6rj#oeFlknjS#3G-OD+74%)J`hR2D_4oD@uli@ zDv7H~I=RUBk3%fynO&xrm09!>+Q4JLI=!5<I?H;J6-a3))JS-0cpyre_d+YyM;9$* zH#gtSC)L(EeGd-|oCEPw9wfc@PtDRipbFbVvBrGXu|p@TZM8i-uG7cB-r+0!AjHep zh@(TtC3>?8FlMXx=yLVWoA|j~`g$@9!Mo+qEV#H9O_~d|u1Q9NFE?ep^si1PD@i=Y zO?Zx$Fbd4Ya*EpbxWhjLpLA;O`kwnbW9$7(OOaPgz||X&`u6&M(vIkh-{;KW)lz6O z%Y$p~sgi44j+K9j3k%beN}jv`JzD|iUjEzYFOuHNMECAKWwoJ51ZiJ&eyaYLo~sN0 zzTwUQN@%$16~dVH2iRP#I*Q)Q+mGrM3l#Tn5MI}m)sfeRi$nr`&FjTSnTPJ>m=}g{ z$0xFUV_eQa%Tc<Av#T<eAJ-5eGGkz8Mq!k`g18UCH2p^O47Ez*?Ex*~=QypoAR-RM zlw@b~F^yjesTo`@R$n@{^d3U)%$NkL5<X2e<&Z9g<1+nAQYbK4++<&R4Z5l?a6;;C zDQClziw<!M67<{@K7#bZyciNBZayFqcKHiKbv>sGY$|`$w2;6{cc$mUZAjLQT`o=r z(N=_Qf9Lvy1FKW8E<`Isl138J9Be|a=_g~5J6jq$LBg09<Rhsg_+29|5De(QjVOBR zs&;NbvS{zWrofTit1J8lp_PDx*a%?%)eD{NaSNKwqvWYZAh6zs!PLWZ9@P>{5&!LU z7lNGuCibbK+fJ_0(O$MgzQDQpIm7acFnw9@jm&=ZQ1qAi8tf%9c{l5svA82?0S{XY z?-2s^7Xt~Ut3*f)4LdmL(998n08D@^F(Fiy@!#N0x_$e1rM++GAv>3)Z>P}eZz1pS z`O4$#uRVGMq=Oj((dx0WC^&PgP&`TuRlQ+=HS>Z$^}0uE2}_?_f|(gvWQTb6a)3H? zDFii{))_+*lZZEp&rz(6;in`K{%4rv1k5ohURCsk88ww|2Sx|gl$UDZJ&4Qk;G&J8 z4Y*2DPAX94u8T8xTfYht9WzS!C#f4}dcoW6n&@202&!DS0V!#l-Zwi^wjyhJbz?|? zx{k5$+sx5PEL!Rqy67@oiW7cecdY(+tCN(p?JH9Px#p)hTA3j%W$YBQ0tBV}Jhs@M z?DXD*KiZ+FD$X*OcY(=l>Kmq#uh#H6u$D>=4vT0s1{N}^m&F3Th(3Cy`VFX%0fJ15 ze{g(>piM;}#6EBE9@AtVp*Ma*T(G182(rWldmQq3p}E5t_tuR)*gFs8@k@KPT=D6l zy3<9|O~|GSxaJSg%93?!TK~$%FFMVaRcK$dU^sHY3q9o6`iEkZt{}fq!Mq=6>iJ=7 zWinO!{rG7<o6y4sKR?WptJ=%W<Xh_J?@yfKU7QdzJ}~OPfxy<!t1ISj#x2SK#ACH_ z<GSKj(hBMZW(V-5)OdVozKjG7$FmdJ=j`y_KDV<UOv_-zg<+}d3$4ELME*+nD->Nu zT%0dTQ_NNT<H$&vXrr2>`N{IG&YkPiM^WabeIxgKhN}2UU1N5ZuhR%i;}LrL`MR?j zckUj1Wk04lV`40Y`^vLzMT9q|01p_`wAL9hi1flUJ=Vk6qp|LIqhYNk#75Z6+t@~@ zic#_?^b+O<76;>~YYK6s8FK-?p4)lGLbik)9zC3qV3Gdp<ITi9RGyJ2{vjkvwL4@A zf%3nM50<(VI18du`2@P~Lxz$Ne=p;foIU_IR~$=yeCemXd05Jq-GG8vU^Ebv=}b>? zbmv_ooA~+Cmj9n!{d|6yQ{Hb9(k|LP-X%disd#Y7|Z76w(_5(iSqHUH9xbMsJ; zf=p8j!V=wri>Y&8uDM(*4sXv7B?jTN-+2=CGaoavyN+=BV7-lotCjCW5JQO!^$>>Q z(;P07U8S$P6px(AtHiM=P-NnBLBV!Y#_H8A2498?U`MmE4J^sIS$?^#QU8&mxcC$7 zF}<c;g*?}X_zZ<ZpDS0hyZc#UN=MnS^iQe2#^#eGDW3=OXARxZ+d>8pPA(5G%)OYK z2J;cJv96BFD^d-=zOLC<1&!)BsZ5^RU(gnp{x)N{-~MRGCw38K00K64v`PZAzZwFo zK4X*mR!(Nv5e9EUEYEh;`mqce{PkQ1lXp++z`3p3J{9HuNHXm=h_v$cwJ{MEK9BzG zFEoh&tz3J9nmh!iWNd0VwrA3&p>6e+(zBI@FNU^uEap`EbE}MbKzNUQL~D=(!;n-M zGt$R~wv&y;8;NE%3-A?sdmgdL+*L-I!H*1@v*JtI!g>N~?k_#P*xLa-|0GDFBT5hw zotB*{;&66|o}OxO=91HhrA^uc=aP-}tHfa;%*bG+^7I7<2e$^cn;63r0tVlNGU{T{ zD;Rz6UZ|T81SE2=X-Ow&iL`QCY!<bvH|j+TyuJ8yh~Zbo0r<>ek;{iXE%pyYAsy%O zpm#^H3@#NibW^s-6%vyuc7wq5TR;dw==b)9qhX<P5gz+%eL;MjyN;ojV7m_HS8o(( zw~k2&+8>aCaU-O&>@SaU*?6(Z#>@dE)^DwQ%*F$5BC2+e^Dy#u6<H-^NKhaOBASEI z?j$s9TMN-%fC8+(yG3Q6SuLBP@5Wp{y7Z}^U<#Wh+g;I2FO!ECGEDx5iK+0`Whnan zS?Ywc=;ez^yekg%$UfPC7-+#MkP&=={AFpx+TO!<VkOxyCP0*Hm%@J@d9H(5D&?2B zMz`sMBQ&@|NyR<w7li`PW3Dh)L*&mJbF`;v3T**$K;o?X#dYClQCFB{4Lhc2*;o8( zLV#ridw3G^8{%C$IRhv|a;O%69o?HPg|HC3(q-yRhZp{}QDngJKm+N=vAHNFF%~^M zizn33cO|O*;o~VFHF`-Zu4qA?Ilxo)4|hxtiWV$YperNp1cacRv&^F(-|rC?q0evR zQxDTA0O1Om7BxKt_W0;ejOx{VeC1M;4Hh<3^XCY2_0O3-Gkvv_P+h@}_B=Q?2ThE} z2$eX<U~VL*Yj-1OV~T^8VFz-Pl0(O`RBykqGeJ?<YZXLnCq4MJ$OD=kA*gUdys;8F zGTtIi75le2yc`Kt2Lltm-&O{8))yxk6x$D*Ksd?;KHNiQ=BL^@iaA<Y4Udkymw}f3 zc`lwj^HMZ7?Ts)ke*=zhrZgi>aWu5MO<6ukX^yOo?#Y;yi+f%@rB+23k`TWNgQTRr z6DZMaDo*IAgB62ZA+7A&zLnPei3sG#WOgUba3^gflS%%Q<I276_-dc9&p+wPnBX>q z4W#MpA(Q93^ZmXFX8w|6{UA&$5Tr!jf{^>8@^4*Qt^i&ZWux?1INEVoI7tALT(OG) zO>NW+*@ci0hkcKBleYjRYiz$>24;RnYh0L<g+&;8Z;`;AU0)B2H5@Z57KnSGk>u&> zU`Xqz@+tRKI1j|@BpnPZ_bG`&vOTPA7jSJ+Zet(RAu%u2!bDfb+U7dt9$Ou}u2634 z!e*K|*T&r+aBmwiRPagt<+zA)xY*0pdd78pzD!NrzddjS%N7rr_V*ZRp5L|a=4{}+ z^}c_#PpP@-`p7f7qcV~Ib@2La99{OYkR8f0apiK0@BOk{VEw)sc*XiIU(s=N0HEu( zZ9fcD@V}J=K3DQ&Tz9}0r#@F0Q(EcPuW)USzun~glzUclAgZst+cNEqd-nMmHwWE3 z&!G9X756^Qb^c&0;Bo0)_;%rkzW)3d%I0nk5BBa<^BxOq1*Ox-TU{d8o1Wte?2xL; zWoG?J*_XO`IK$)K_qfz|9hDWh2mbK^0&?s1S947BWrHL>`fani9(|6XtAOh|ABRur zAN{zkI0=ClThpw!o#tgZuGhz%Ly_Y%x62??&^nLjb03!dkeb)&HlvS254~rN{f&0x zO=rF2UMmbkxpoJiH)IA?65q`P)I6i6&-2<+93XbS7y^%Hs<%HYL7w;y81+~^d7=}x z@1QMt9R2l__)g{CDBJlKhbPx0M<+K~B1bU?FI2aCAH42*z4x-e)_Uwby;xe?-jG$@ z@x4WPyh*dEj9?xoPVyT<DD>P&h^5TE_a}$ZmPy{P+pOxfikx}pa^++CjDI#mB(r7s zUkVN2+{Q`WxH4&y>9w*i0YW{xfPfrF#s2#V!LXSWCo?O$I4-#5{R5bSX>^sbqgg1Z zP<qh;Mcy8~6Qpui{GW4Ui`p*PtQ`btOFW>&B|HHmC%D(sW!2|TY@?sjc5(=Gmc#K- zOSP?BpNHF<yO*mQCy#J52PH~n7^q&LsV!9)hW~x*U!YjX=e$S?0meKyY;^-=wt|>7 zU^~jaz#Vf(vq9aT8m0+&S}A+dnz83an4)EZ*J>sl^6nJN`zQqT(yUe#0{9@)Ui#l8 zMAFC_@Jp<%8$z4o-{Tk4xIuT~4a#8*R4d`?8mD?@trHX}<w4c$><xnlW)!4bK}hS) z!bbFlL#%q)Dm)DhfGO>rL|d3utXWHR);RwH4|kO@;+?-;`@njKw}7t=%6Brcs=@xq zt-=(f^E;%&164FlxHX&YFC!4J4Pc1!58+0!(&lso>J%|sVsT0bqLyJPI^l}YERx_i zSn)%N^p2`d{kY!5#ii<?hdICM06xRG#)Rp4t1<G9ZsS8FU=V|tKg1J)`h<1)3)Zb; zd<E01!IpQ>*#zg=;su)>qy|V@c8&PwYrKr@-7eljp*?QqCjov=u7_+&Uf!@SBU~mO zoHpc4-*=)HnI`+J_`r6@E}uU5)UR)7+$R3wa{bm86bqFPFk0tId#F<)Q34|$QQT=C zFcZDWMMB30@L;q~zj~egu=>0I8Szpn#(Dm$VCRP0!N!$?@p39{FTGC{;n&84ehU6h zE@qBH{^ut2#%GL_cefb7l`tdTbAjG~(whb^V@=HYp4af^b;;ef!LPglE8;vd{9ZJf zVTTELC#v{MAPxOAByFD*eu3YtZQ@43Zk;=+oi-;)fYFjcFhqxYc+V+H=vf{p?9dB3 z8ryf|@0{N^Zh%*y8S7O(hY7@l7rxm}XPYh|p}f$2zv(MX67(JZ-=~LbDBm9|XWK2! zcGv4)R&}xvCzea|AE=f7Sre>sg8JLjUrT2@-o4MZ#jmMXj&k+r2zGvtHR<^JRcn-C z?tAa80-xG@ySo;)cJ{Mj{+<XTx9%{-m3L9_it0@-Uyo1M=E#gaXj{fDE+u~B=az2- zTc4Cu2&C|ps^>`l1Hn6u`>*SG<DY$64(ycpdc<1=ws9IppQF%(gEff>`aK5rgn)IZ z_o8RjJGLA^V&p0%ZDE~S*oqXW19er1uwF0L0NWAgQImg@>%o!M1{N+P%&lpCe<?hf zE!oYv_~qS7;cZuYJmvLg9mn~QL0w70Wm+rddL7F)=&V4thRt>&B}x^(SJt1oG*H*^ zk-K%xlciP%jQ`|rY^P-dJa_>*ON{!2kgC^!{j3!-4O$Tqz5)D-RyF7oWfgg?V{Ky& z^i$k+9B*XhYVsJrpO$;it1S2~&-Qx_Es=$A5w6<>1GltvXTGIYZ%ox3(F^GK`yu|$ zY9MsjWpm=br_fOZbC^43lCv9c#vR*-FA-S1oBX2Dabgv_$kgc4T6!<>GL&z;l7RBo z3TsN#_|lS6PP*Q@5mnOBJ}C7X?|2^&Gzgo-laB{w4Pc{sLIgDR)hr7T1pm+aLgQ!l zYku(#*KqI2Cr-x6GwD1czH^Zs=-byw5c?#v2FWi+jMDzBs+a1~If=CrELQI=Y~<+2 zGW>^v<MQG5)}eV*F2C{lfm{~ZSxA~+AwG!B>?PmS$9k^uP+*8)k3k`vz#OEX8+hsq z+aYE!QHzBxs}4wFKm0ICbn@%4<S4h1U79L=3+D2c1sw>|EmeElY0uCB>$mpB>Leq5 z-*`Ar1J4Mtrb1B6;|om9C?$z-oRC`AzAn0+3<1==`L*wn?DQ&FCf>$t^+JE^_xG8G zF9dTP9=y2nlahrON88-`09K&qBA?rRfl<XU=I65PhdD=x?AFUc7&4Q@|DH;xNUfhE zsqaSy(nyz?V5=FfGOH1#ExTcA>M1P4IG%Fk_Ys_MHsQMqARFeoxzou1-NBl03Qs!U z^}y<Qg!P1#vCbGDf670~OZ+Rff~P5e@zD55e#3rrDb_9>p^gF&stORBGiwK@NQKlF zM!Q+X6a<CLb@9++lSc@lNPq_x<ts>_QngVLBkJK(tm$6xA&b9#<dlS<xLL+d{rcO2 z3w7L<^9Vg079bUs*|0;}ii{4juouCwJ1$_s-tOg}{p=_Sk|1Tq{L5tHff@AI4Mjnm z)IiWzjRPu^aBB#lK!;fLI3x-l>E#j`qgdN3`X;R~5;e%w={mp+G8N=@aoUveg;Ma= zV|D7FP_)A~pDIvmiCAF#J|o>i=4e?)Y@TNkD2UL-vl<w09|>6x->o3@x1Jx9z{6dY zQ$C4eFua`Z--AagL@kf-ku0yskFS-%v&4%t2IziHX0y(KSU(I0=eJ_8b$}S1F@zqy zMNE0>{R_S|1->N5_S4=k%E-uKDGV-!n1%0>bm@u~kcglM`iPyKPTm9g<^913#8ecY z6~!hcd{n*1D5k(zVS=HVmJPHPA(0|os-~YO1sLNB<bR6hgs#&zX^D@x)g};MEzyGv z?+{Xc8O%2VwI1xDt{)sr(lg~M{E7jUPWk2`5|W1fgBpYK=x!CS?rMcTVrS8%JfUSI ztnHRup(D8JW~!^XK$(s_R`7;MEwbKth37MY(~-dvr#?fGpoNMAhK8V^c~iiK7h-dm z=hx>j(d|(L2Gh#V_Y4~l2m*55)db%YzI-24WB3;bXz2>Vfk0Hh>SolA|8d+t^m9{^ zNRa#?TCwlK|8!#1O(S~a&)D<Bp$ifjJKn^#%D%xW-UM479jES59ZSQQxZ*sMmz?ag z8|~Ib7gAIMGm%>iDCf#QfgtExfE8~)%L`5#96h{S$blR0KT{sxpDhUA6ZRI^Ip^z; zMXTP0fV7up2A4Hbvh5k&ZYRi}P+bIUWUnlOa9HK4F$^h8?jf+kgvM}GD)KyJZw&sm z)WU%%P<{g6ziAOeNu`Uq-@JX&c^tyMl;L4cK{sPYK_7mo<}cUl_eJ$iQgMPs`<39& z97d4jV5hI;^2#|i{<yFA62)VBiBZKcl^DRd1CS0zfJL05#Ir*LJ&OdVOgQOcB4=0G z&sj96=Dtq)PGZ*?e}b$+W!CJ%757p%bcMyx(Dax7Y*uA{bHe%Q_vBJSQIDAdyhDjR ziJpIaIC76o0;yy!dm$7eualA!o(JolG@CtYOstJ?E4g(P4?RsCya{c~nH&D8oB>e@ z8Q?{KnGQP@Kv%P|=4%$5s~`8A+o8lLDroqMLm<rPoQgcsl}6Qr1Jk3FbyGJLXM81% zrJykAGh#p-(2CQvBKx=oAq?$_xlr|a*EbISMfq$cGEIde;50XuY*c@>`b70blrEI- z8+=OKg1`@SDK}w64mfiZK7W;82~ZklaKPQXk3(32*=t_AAZk;^&JUWGZ2E7hntbof zW-f^<{S`2CnKcJr`Z_=YCqF~JUuwf)VdKA2vTZ})O^^f%W--`9$QbbPbDi8>|0DdQ zDV`<}@cT6d8?K6?C+D{5vPp+?J05)k#Dp+cK|)6CGp3L^^rHzYMWz>Lz8M|;Z~&P5 zjVrHZX|Uj`faAiDpS*al7kD4Poe*$xv}eWGp7-6fJx8K=Koc^yMu(2iOLJ^Ix`~?H z4xQ3XG)CTJ3!5vHoF<bMOfIp6@JZd^cO&;FJ&A@-F3pc5p`)n$k(T}F#`TvIU-zE4 zu*XAN_tUu;4>M2PlL@mC148=Ag#rOOB;nt2Eh8yJYABwt22vutEj2oj+T(N~xXj^E zo0?aMfn~HNcA8g7@<JZa%OVL^JA-R5=0j06&?i${;|Mv9S-l;^hGBo}uP}JuguIJ( zgdgs(t@SwRtq_}0n$I#aXI^Q3o(>#Iz)#`28aSb8glK==-LpqP$-kyLGhhIGztxog z7^!y?3R2&;P>K$eywjadMs!rFo`xw=F0#Sa{0dL+`~6zB+tYaHn)?sS&FuNzb>dIh z%+;&kdh?F<fe^e%HKOuGb}XMM*$v|rPxKk!(w&Ai{*Z4XG@#Qa5`Cuq7a#bB9@XvF zwG02{GL+y%FaNR_d;Q=J)9xcYClUi$pH0Nj4e=|k`^z)Bf?~WclM?H$ImqS-PsDM| z14ZQlV?AgmGOVUjqUvY(RB06o!|?rGta^}^++P+XTF1FtP4W3kSLuowD{e}1=kJE` zXg|L{V3hF%Z4P!>%mnt6*9JIn(M9qQt6$j!!Wk+t4{dnrn59RN#nCrO0k=J;)PFhd znmHeAgJu!=-*?!n$WFS011Q_$@2*i~zZLF?!t=Gk#?C8vU_>A*gOV~_*mdpN6(PF$ z;y{vyZB!wkSL_bhAijcbBpY0YDh!B5mH^VpHa0|YbeIMHsc*x$YkHnNn~Ix<UreGX zI_KEC_;qG%J_Vr)XYdtB0dNx6Qjmob%6@|-aWt64Ke!^kR-s@{RrhRkTY!{Y(WmE% zB9cT^F$pu8AJ50#+H)+EDjB{HJ0Q`R>WdRq1i_7vOLVEpdx~6RFR~?jpgR3F?(&&D zln-0bg`vwfM@HV5$1$-B-z%uC<6+erHI2LRaDQsB>TWkB2UtIk0(N#6T4poPjt-6n z@T6+>2P0~@rK;Uz$;VUwSiYn;PV0$BW?pJM(rd-Sm0S&Ss-q*Gjm?>h>CjLs4)Zy= zax(j1uV<4e_masP3`H(goh4phCfA0IkT_tB<Pmoy36j>D-R5gwxE01Th+E>(t7Fjr zP~f03_%^<2ocpsU3&7W{_VRK#(q6-{>0_kw9{U$DHBZm?UN@hme1tVM`rse~VBY%& zb_Jo4cCUPZ@#d*I@TDW!^Ic-1o5S;}wr+9!l*-2WTLGdaoaKIujIZ_6xAzRAUb@5% z5pjg-3aP{UwLPS7N(RW7xb!#<c_RDVrs4U#=Pgsp#t;yFK(_LtCA|q&(98?1oZR^z z1N%@|wq>J>^PU&WD$Gl)e$7{b*NYIsmzh?M%!}?2ftQzSLhZNdXq(ly+~_m6HkX4q zIQ|;%ZNg5sn~6K*N<PZg^C#r<)=eAkz0?@dt(^`Z;Fl&m?a6#)hE9qGFehLmz+K&a zC~$sRc=vJ)^zdZ99w5&CsrXP^oO+!t9pVgS-rst<wz-`~zZ(spz71UU#tGP6-CMVB z(+YT;-0lM&^Mnkq$7xAVjU5AvKF5#C>zA2ui4+wrldVs4jqxxwYnmWG?m9u9uYCsi zum))x0)e}on86Y4d)0%0_q1`AS5wvf&-J%g>+w$D`u!s<-A5Gi_3@OF_vSP$%j-O@ z(|t>wXTA9>8kBxfaMJOHoK(h>7<D-ndKg1&h`#Kq&CJ)%vYxemn`2zM{dl{Nk-2nz z(^KzR`A}UA7CUeAA2*+=n(a7k^?8{@w;<HW<U5Vsz9L+`pU6#mHR=)Yc072NmJ6pe zNwflZpEl*%w~q&`UvCuKhwu4)Zi9AN#;oZ&;+@C!W3Y-lPmY32M%<xnS8^cF=ZDBo z#l_1C2OK7`6MuC)ujc-oO)0p&ScRrM`LS^)eKSh;n;g30GH!5ZWnm%go$A8C`;GA% zN`a!`-UU%DinA{QT%%sOPg6&7>|XG{e{RYEr?&)s9rmzKoHYwmuq~1Sv9q<_fC{&n z>}Iat4!WGxqW|F`mC@quMNTFUeC2X4*ZpB3vPihhkfULz&~v#=l{#V?MwWZ9wZF`_ zDiP~Xh_RONGe|Eu3lB84p#VTx@7(SE2y@UwfV~_r9ot|-caic7DyTcf(C`@!RFeo) z1=x_*8Cm(OWmmd@>**IL5t?3OvBqD=Tr=NL{AEDY<6kpeQmf&S4BqtPUKeUDtXYBy z{<4?NN|a1c$W^teqTZzN_y@)BU$1YwD6)EE$sTm+R~MD{Q^2{QgY|EqX64w+L(WO+ z^y3H)T8V1<C<eK7tO-58pZ2oFRxbkGf;4@gF8#=VpO$xstV2KYK$xNX?QeNS^Cj1M zpdmY#KR95cxG~8cA#J+|<d|JPdiBDEl5Jm}e0}~l`17}~C0mEM5n2C2#&*ar{z(qA z-T{u!@Qk8ETS5;VZ2PQZG2>oW3QU{J@`@Wl6qzny=C4l<Fp=ChjKUQc6RI?Tqm7}# z*NpLBE8~bsOV_xL^8Rq-%?ok=_Bmh+HuHwFkYRjXl3ybdesZ0H5WG4aXsoKtmyP?Z zzeGbR?9csR$cdpfqu`@9gLbfwo<hOe8cVN^I&dWas6KW>wk)njNES)>N}1YB@cez= z>-Wv+uNFelbZ`Df=Kg(9B5iIuK={!B)XZ_JM5i>GijyLaIWR4m-Sg-wl5BLo`lmY+ zWGy6_u9n`U7{NP_`dL)HPZZPhc->+O}9b-kp*rN3ge@4nZ%&Q8LU94!&K(+>rw zC>L=$x+{JSg$KNTIe$c%p7$O@d%wkZss-nsb8E%W)Q%|h{EVURr+5b7P`<ald90Z# z>!r<DXzD{P7+IZs()#25tT-{9)QKpP!nyrNo)e37igo?Pgv0x)O@I75{_#ui+|pb* zUL$@{wT#<ObHtk>nci5N=<h1CS+4c*b@XAZ|0X|u-~!8ou~-#^Jmjhi)wiqlkx=nn z{EEx6Kh~*w)4JMDdO<<}#Fok0-JLdXD2H=fdoxNzJ5S0Ac6hmVxakN~ZnF8nJ7%R3 zQ*fPN^b+){owQJQ(HH%LDj$jvE-PeB!D}|p^Y&UpD7bNP9<E)4g+MvuGMu!N_2~A< zkG`n{gAS);5ujS`RyQ|oPN7{3U)paM>n$ChQRhH5DLnr`>Se&G=yw%wL{rWZOFo?@ zB%h|YQWTS=t6ByB#<QOSctR3+*vLg$LEq;LBGiZuK5~*(Q!7F+!0RsCZ7fQbTK>A# zVgD@I1M@Y^*5}h_3pa$$Bm@@8FHelbuWjHNf0)tfswb?MGuJNFw4|@DY)t~`A!1_Y zGBH$}`5{2?ADt(lPge>-wY=H3>*d<qp-cEEVm0s5BF{Zi#nzmzBrX2Q_{h&>j0y9} zxuFM6M?6+m*qJh~YZRY-G2zaUI5|k5CD*J&nj?E5LtmmA-T%L{fe5Q!_w#fnRFY#^ ztI2XZpyOcK5==Bm#<`^BORBc<jNm7>X)moEHWRbY8VMXPd}*a1E9RDiIPS)D6l62D zc|ew#jEX7vMx$rGvXHF&WfVS5QBc1{2n2;7k-&mVgWPuoS6oLC*quR{oo1~Y!TgG= z)14NQrt&+POvX7yT#b?Q#jH}{Uc=$!&GQ>^Zj4#-^fsL|JnSJDe@73dCN_T4FsT0T zuh3_sHBP`>#Kebp_cnMM0v7=lOHaC?e6w5VE0Z8M!(W73!yK5(77^GllDSMxn4qF$ zZ+$d)@nkKdU>%53nnn87w|xz2ZFO}+Y8okrI#$Ccdb0X0JeD7}Xrkq-f3PX2NO)Z+ z>A4JO!?%8m2B9L2C}`-?Q@c5Y<ypgfQ70)fScL&lp!~UC+hoM!E?MO`5cUfGW<$!? zs<Mz%S3l6@3O^^!bqTClQkjiyj!vn`(xhO?&Qla6L-^M1VPQ|ujI)!KEq#>qQW&8{ zhM!0H3)>I=IjHvozxg*s@DFu$p)%KY59Z*2SIW~=WZLgPACh*b49*jRN&>H(zQD%_ z)olg=(0AlIF~8?NU%r*Y!W{p^8O-+0h!1-}g(su*hx#p<y&<<%cnKvrPB==Fu0~3e z!z6uxk%Y0ryf5(%qN34=n7~v>hv(75zwiGUZ=5*1uz8uIX!`9|{^zH|U%*JksFZG7 zEE&6@yqbqKH+>Wp<pyiKlFqJBubCe$v)J}G;Ph6F(uWy=gg~PN39s8x3vx`B@e?h4 zB!2#x87c#$%cQuVc(;sDUI_PtnSG@3xy9=@oqLdw8$_XBhJ{kV?=bUHL>wL1Q+h*A zn4{Km^r<=IpvLT<iaFqo8}yA}3|U`?+P@cv>QYZ@5v=|q(67`^Is@7i!v@GhpNBW* z0b0GI=%du-u40=!kG}0sNlPF^^j|Yl#^6M&&>YKUnE)Nr@^Dzwc6%6{$O(K)I^$g! z2iz^UWh`44eal;h<Rc4w4!XbxQal7phhMf2yzF{3vg^G}L@9q!qT~}bq@Zhqt$zQ- zGt>HffRy{!g34|}oEJV1M0;RQgZ%$cc23=aHo+E-ZA@&N6Wg|J+kRu)_5>5#w)w?& zCYjhgbN<0OH+|o0^{UlXd)Kp-<V1n4K(A);-uwt+kz`n@e){fhLJ|pS5m1D*Hb_S) zuYX+*Dpzq38Sek}uv!W9zFUcX(;lS^vGi3aKp4rUBb`NlxTF)P+oX(ShNZv=wm;3^ z`$(A~=6Z0J1+L!>_=QpGy>b;<XV3R_0|ak%a~-Uf7sC)1Wlvo3caaxA{e|WrZixxD z@yFq36^qnJk<VX{WS!PEPsH<O`dEdxRf$ZcKGrGWjX$tAAVS}9`2xNHQ60{22iM;5 zwD*16Ma_VQE7=LwvTF<E)1rDj$QUa7#Y38#?eN_fU_3#$!OfKtevIFjVCDlv64I@X zajewy1f?#}c<@A2aZA7D<MIhYC`SGj;jl>YT#<djUQFECm3)TC$@S>~9IZ9~j{$ zh<hx&A;sz4ICk5{4miUYAL$pr1IvUP6(3Nw%!p5?=J*&E3$mH^!K@~G3H3lM`-?&D zUEn!10bX`8(VXV{M*)j<$OtBI+YmrdP)UQmElk3<|Fn#Lqce{#eZ(ZoCe=MDl=l=n zE9J%dLnKj{_$I{>4wh-@+Gn4`yLLoMyPRFbJr$O@*Ek2N1|4Zx$SK6pIU*J5&eFd! z*y>F6TfIJ$0;<#_q06KCJ4tRK2#(zVf^BaIC@Yn#C{e9kbsws&!q+seWmdBG!%Q%I zz;hy>oA+?RUUgd=3+9=Sk<=rlMD4FKieWaRZyz@r2xGs)S)wBjj@>X3p?i##?0wZ6 zYd8=c$2n1^o+-*5{0&)+X>P5xb$AnTYW3M3750G&<T91ipDk$k7$8Ad$Gar$OeApt zWz3C!Fcy1}+LF_E4dK*^0X$5jV=+=NB`^sn=r39}d~?+zS(pt0dbA2_qQpt7IE;*% zqw~~{P)vHN7#6=n#3BKZ6VH0DNYu&aJ)*XyzI!_?!)*!dZyM6<!33T^;?|T&F-%9? zxUx+*6m_V%6M?x>YsR^wGtiLobi_3PhT$COCNUN5As%Y3hs=RUFYoy=rsQqJ<sP_C zU~I}*1|^Eri$DG~L;W`L-Q}CV1u9;%iOhUonW(T^Ae&?k1YQ4!boGpmA{U{a%|Svw z)Kuf(5iP><CxX0rp}Eu04=tO&Z@p(3Yl*)*#EB(i&7GJ=%bn~|h&mpf(BuVy*p!>m z1aQ?2bTYo+k~{K5gr2;Wn>RnK0t<5*1h}bTxxzO5?PPt2pMjWDHM>rj!5<+y2na(n z`<kHT{ZxTzlvc2|2kiKPtg-fw>`=*5bTK&W0Yz`AaU##4Fi*cOWavdK7^4mwExLL2 zw+5R2!>BaY3ZEBg<^_fLgHcTioSUGZEX&@qsq8(&IGyV=5;pHATM#M7XgvwUbAZa6 zS{q#|n)-rvqGqQ|jyOOpn}{yTwKPUzLW;5!<Iu}MYchqvYVU6u$!m(o-l(&uId63v z=Jvvwwp9$09?_a8;rd_AV&9z$&HBKmR#j4qM^S*tNaZ;_axKDC6S1`e`OfVKdLoRe zqTR0GMsId%4GEi8SQ!`G-xy!3)TNlAAvHI7WNxfNO%zbCi8wE~4iI{wn6QXUXP*rV zVl*oSkoGp+M-rK%!WLV#Akxi>PWw~sFvl;8w@F)Y_#veDm)xk{%P5d$S-SAGyAgg| zm6;dqeD#kQmlBS0WYrMB3y8g*$4!()n4q>~y^p#Qy8H}zgjiZidy`1Y?Af+oXw(P_ z8Z8(eSWzvixl^LZOE!9NyvIb-DcTR!bn<7>?Bv?o;P6gl!nTUnr7e&Q){y$16ycIP zy>kQBnea*%B)ZUqbuxH=6mu7?;7r>5YBT=dfje}CI&6PzYmJqF;xMtzd}2tj??Uwf zLII|_G;;6hTtjDTSCvJKR4##<OsIK@Obtpjcc>0e5)Dcy3zvvOc^J3dQEaSo`uM=W zd^xVAdbD`pcP6wZvCj*~PY3R+>5nXrCY>}tN2F7@K%5Rn71p@FE@+3V3R<RI@Iz#M z)<ng=(4`Z!v@{`ryL`WgL0r+wd>mPk)fY)Ie~*Pi`&q-?s?otkj57X$W@YDDgM(9f ze-M-qT&(*540NDwtnFRs(p#!(P#C|P>yFHpYvOMOxK~R)_Ad5_(F^Kc!VU2^76ii8 z8D~rChxV6lrpb7wbD8~^(2R;fHLhL@Qc+PB7l~XNzV8eGz1>G7)!b=j=f-b|oBi(3 zvvs^xP&U+A*Ou2kmkf*YlEryf8vdV;NRct+UxU<fonzF(|DKe>#7t!1ob!;4he(hb zg+^7<s6P2992fQm&T%@`e65pfa0+%`Am%}aImQ)g+)Tv6x-m};^IveX?2*q>)@FnD zNN$J5U2%6{K-~wbna20@(xwo)ZzF4^zZm+tG#D&&MM)}M9gIQN1zNgiG;2P+WmyU} z)X4`Nbri8gB8082mSvAQ2hs$EN3y0p6ct1O2azC&EDYZr^HGzZXGilZt*R7$=$1Hj zAYsx*1aS@~^1t8|65ou{H|nwde2|e2b|h#J>hLOHZz+{`V5hUpv4pJ*Q_m-s!`p<k z&T_u_BjABuD(<th;(&`1sf0l-MvAU|KDmlnZZmwZcQt1)iEVtKbRrA|?L3jdFGUsx z@!IUN7dIk)w=rgG|1p&yX>GjU*I&Qv&OUiRJZ4i|D;S<5sE>X$%>e9c+yrAZEsnR< zORNe|j8E1bf{WzyaZAPbxUq8jXv6GeNLwM5oYE}9Q<UL=CX5o=WFV&a&B-kjpJ{s# zEp3(Lq!?+9IX#6EB0%AHis1f}FRUszyq%0>wgVL#-`!L9yMpsle^@Kh?I+=k+~RoZ zflZJp<&`J7E<CIc_{$c$kQE5rp|vi=p7#pSh>|G1!r$+W_gk>cOyS2p*>Dl|%nJt$ z)8zTIkP(EFIdg_v{XN0?Z5=v}%+$O?qSTP|5k^PA^!A?SytJ~$>qB`LQR(h|uNQ7D z)fRna)Lt~pVT2}pV$8NHnElFqRQn0cVMcGB=slPx#tTO6K8v*Smscz=M%-!R4H7uO zOn^6MkWwgOZ7WSA=)KQpL<lnGiAIJk-(MvST27G1Vz??9TXP0iwDo<6NL+HuEgn&} z4=qxI&HM<G*>w>qUnrl7)1tS8K!`}%`j+Q(0?8!!XCjUBY~np_Hl5YrF}G`+TT?Ft z{6_>;I=5k1vYRC672^_u0oh75nI1L}{vXIR<{CtP$|W9Q{Ft+=;h?o;w4~p2y08*u zcrVLK8G5($ZPwvE<8j}78*I6A*Mt{66=O0iF#k*$!&dOl-cwswOwt@`f<K0A>jTBd zSF%{01k!!pOzjd8rUMNo!1R5UxI9x(ReYLXf^JG~A}*=-smoe$a|0Tp*nSQyGV9l? zJwM+1wILoD48~=&{3Djoic}l2DSFe;`a`nO_$}Zd!cg(|UWf7;lU+M54K@1x`zZN@ z>5k}r&UtZuhI#%8{N_fGsm}&BFGFmp)wvC<HKmU8A7K<vm3!iKGN{+X#}2Rr_hRFk z;l;zbG3%v?4y^SzFjvJ3-&=vOW`$m;yG>|hpJ%_n;r!p99d!o2bBDlf!!_Tt-a-4A zV)qm9FU;)wK6th7zEWj(HqXn$r0X#<ks^wB>qUX!U2V71U8(2(*4CnSiK55#ytYx- z`Tc#hTDOf>pnll1fcsOxap~bf6>s%3L)XE{p_>0=P#$o3yY98!-2oyD<#IcZFWy@V z`ba*%jwZh^vLf2PcjAd0{i+*Zn}FBDuDQ39Sv(IO%}&klo3rD06<OsG9|*DFP4R|& zLzWp1nrJtgh8Taju&=58=(~}S4E09CF2_0F>%Nys-&#K7InP=zhtBWrs_$$6a{SL5 z-}s%o<^TM7r>v+t)d7VMbh{K6zrUS7FWMylyY*@LUschXO!5V}6$t7^DL<<YWYb@! z4Ea6<I13&LfmPbI;ez$I%8*S(LF2L3zTZ7BAxlI7e<u9<nhr0Q((AULD=oi#-f5~F zd3$bZ%D<oXiB|JpP7qiB4s-MJ3c5f1zMjA9ZEH5@^E})+i~y#(`oe9-R9LmEj!obD zVy_nu9s<{W{{e417W@Kmc2TZAYBrP{jP2a9*#r2@55^8)VBQ%fsZ=Z%gai!xJ!4+G zT1g)VAC2^kORoie;1}Y>E^E|ocZwcW-I};1i8=Z$k=Nz~$qe_re+Ipb4W(I(EYwff z{ejJOMBVKBrUST)j0-t<y8uBuu%4Src6~b+C7E=@9PHzD>@quFZUdv78}<x|0xr5{ z>0o=8-5t?ey?6nnbDQc`^QlXEiduf=*5`=pLITCx=dgj0I-L8KIC<L^E#1Be7hkM& z4;|=rwOqgtj$;68GOCrYizu*!5za1!Djz$L{{?T_5ZKvGEhKEvs6ea^|B5WFR$JR` z$~bH>H7pg%Nc5}s(C*3O1J4Qkx@`#Z=Q1o&^0G=l+W^<Kt%pTG-3T?aLNB%~Fz=`% zj1=^1Z{&NLQ!)bGz4E-5=aBxjM!EG5$wpPQ7xdq}4fs<jmq3gMS_|x3Z3s#PN1`lF zPIaLC6R_}wLFgW@d|A`+xx<^O*9HsW!V5XR^QX(juW76f3>@aL2>GG>UprGU$2ssy zY8Yr}eRqq<18r%)=a6tcaB&~0q%ccFcw}p+g~LuXJz?w*c_2zAMzudm!LMLI*p2Sx z<w{#_*(=5vbgvdpRcHk!SYFU?`46E4{|fKj4(yD4?S**Fb6^~uqV~d@e;I&IKfFqN zeO{5oMhGnY&KgQH&-rb~D{$_xQT6~?#~E-A##gdFeEN&{Z<%aOfo+;=N1d}DY)1x= zG$%>2ags6pN}Hh>%_T{}A36p;4t4jx&Yx1j7pvohRllQ5UB6$N11o!N=S;)0KVf7H z0fY4k4xG;J*bf-wSC&^NrbqXl*`VID0mB9tb63hCFE8TB=<fx&YZOsn@fO)K!G4{T zaHPV&LX@_!I?E|OD$me(E%GkU(*X2veoc#(vlXmI#r|)AKn|>AwsQX1H47Do##$Fv z&3w6~Q!Ki~<MM(|f!9HV06Rx(OLz(&aAP!m6ccuE*<}#c)@IK4b1~dT+^se8e2PtX zoidLEeXTSQy`IO}ZUbY(4AM@dc_r7_!an#O3jRZ?LSt%cj6)cP3gph4R+0!Yr+?b_ zS;F2#;rNeRl6d63=1ukxHN>sf3%l7mzp~Ak6IKpFDV*ukC9$iF=~!v(uv~vDkdkhx z!e?qK#QTb13oy*tvj~?h@G0ov;Kd*tEh5q_6ApG*n7R>dOguNwnhB_ZxL@P#W*!XZ za)Q?dd${zcLooV83<+`O5Sv@rK67hQqQv+LSAG7-*&`elqHP1?5at~ipkbZ?NryfB zB11BgMZHqjzHWIxJHePvlqvEBLgeR9os0V^gN(74*b<e-ZTu0{**CvxKx-~O=o((- z6uJiQ7UDp^E%|?#7BEXdt_-CcB&Z}ZTKHFYsTLYLn)3_HI=}f1zJU^45F;3R`M>o2 zx~UfU)SjYJiJD2ty|O61qG{L7zI1SyYUadlYsSXd#kra_sFuE9(iV6I@&kcymh_%Q zZcNlO9+{ypQRLehDdcY7yhqvn0C5rg#(G1RDFJ7EzfsF;S&MH{X94oFhR?#LZpYoc zo>GxcHv+cLY2hBRD5fwXonIDT+`cVGZNdv2TLbE-xkoqnyUNr^kjz+6oiBt2%fDgd zHMLR@q%YjtTLusWN`L+Wei2)!9$;$rhn2qynkF(C?$Al$>NQ{MLFk--GQ2h{zj_an zv;OlNYsab-x#+eF4QtKVf(=!L36!H<imAZ##=LTed~r`*6Yr?Y3L-cE5wa0y2raLj zEE|^pt(%xB;erFvA<jNw+--4s3ZB$?qrz0bSDI`lxz2Xg2fCXDtgwJ4*43B5Sne|% zXkTpXwKKJlpz8+?S^J`xW$=ylqBNszhmm-(swy&}MxXtgMJ1xtkX!6y!5WqD*}(Z{ z$fDzV2}#|tQWnb}FRXcmR<H;_%i(1q(2ADIWTr96oy5??%`-7z{U!r0zu|cxOXSPd z$;*?VDi6@ul!)qpyB0$YEgl4LAxvd56qYW~5r@LcMpce>Vw)x9H+o-Vm_2jR<{vRF zH<rmXPpN4&1m^RP)!f|V?9~kd%<JqyQ|CSqe>Pz5rqt!pfAks4eL{y0P2wiv<%=a1 zv1`(3<UoDLDTQd`k*lrWNo&X%am84-ds$&?*jDQgt3Fl%R{R>7gGt>8Fz?=FZy0G_ zDT(P)>0u<V_cVp4R=GFViqw0rUPw=A=_)BMZji`=K~4>e2-?e*IpS$By?#!H0gDCd zGN>>*P2i6jqPvu{6n4-8&`^{AO->}|gt(wQHV_LcwZWmeii{}0(BgWEtDAD78cN?| zhJ}uO2;npXLKm*%bR;&7L7QHGsHz!VtV1V{XxzMv@Q2Z`&K=5{n+OrQ>8NX3nAoCC zV+h;LHjWlM%StJcs4iViRAaM@%i*c_%1PN*Tj>h5p)?RAt!f5LBC&(yDI{~}awO?- zPgtiOiQ}XihZ5m`f##jJ;hBTI;-=#u5YACwiVpAsFi{u+PrW{LLuNwsCNNzHX3B@< z6?F_vjGnl%id8w~v;9A@l*$_CZ(eJWMHoeud|MOt3q!&CX>Ff^+3{n8i>wJMK4rgr zdU0F7OX=3i!xGDu#SKemY8UAX;bgaHLXqL%mv4T;?oh{4=wHb*gGsPu_c!uW(o6m+ zb($&#I1{UqN}&VkGC5wG8OJUXB_a!yANJG89Br=<&^DCfi6LDGkzT+w3Le3Pu!`CJ zq731+!lBUkF<Z$=KVkaNmFd+&1&1KfAwGXVb9EG|p{{$-P)SvfeGIb8zE}pH$U5Cn zO6o-Q(}hv~?N%|4kgpqx??boTB?I;N9Y;G4sE7o{(w~1tZz0CYW*<4&TW=|({zr@g z^Alt{2FFciW3Tb(-+l?AX0h{mbQhvlYj6zo4J=Y(mY5NGw;+<Q72VRv4+f?BXJ@d? z=yY|ldD>_#y-=~jBAUrpnaJfB44pRHu=NK8VW}_{UG2go5H9kDb)}RQe;8BQAlzel zz%lkz{=(@L(!4@TIGSp_ROXDqSqujw-?ie>M4fyBG5TFXaW`T>EMB#2Qg@$i?MVIC z`!-sRM9w~v<DNA?=b1}^Ms38AEQF7yIPYhaWV0*TUE^92MGTydH3<4YDh932-Ft;+ zB(*4HF(?nhTRpg^Hu~D`;g-6}nwaDj@Y1boFRvqh5{A=;NwZyD%vosbl3LZhn#@P| zHge`<{(Ev=>7d$_d5mA8MlF);k$R+dWE0JAs&M$nF9b3wn7p)i@osy@1zJhdrm!W> zig^<S+-d50A2_8yGN%Y*1;iv3X0&FONRo~Gd=16Ozo&+k|7-Cu8W{rJz*5XC0u<pb zj%tlAFvA-|k^ab~A)X`*TA48ob!R5(bHC^atSiKsx<UWTngMHSgw?!FEU9$7VQ{q) zO!ngBPrivQYBQ2BjL`m}*`lF!@1Zu;xua8wKi7zEIX3d=B?@3KiCqY^IO^_ai-O7Y zAz`ml@%83D4}f5Tcb&xSHDNTM1ds!h<_noguqDj<W7jFHkN9M2(UL9RlD394k(_dZ zciblNXf&e}Y~slIq0Zccf3N2{-!`j|3B_@il&~-nd5*nrkIgR_E1gkOOzyzII~cj| zRceHr^87Up<k7{Ts#2@9@<Wbx&{bsf8Vw_eJu671EDCdyR(~51pw5*>1DZ*G@<dl^ zcz0B4+YSG>I!`qf;1CvSE=K_B$^=TNA-7ms89uZAR4ZPSll}Z_KD9iRJP9ACLoORn zFo9E5*qmN^rX=oUswf(ru+odw9dTojnCi&{Nzg1y>^-pMEo_Vfn^ED-z@we@QqynZ zKghNZCwx7n!{4R%GRAg;lt5jsXHqwONv!v_2?VQWozS93TQPrM6mLp?OtOj6IamTn z!e~(l()1IPzE_DAJ6frA;0;=cL$%HkH02heh28PaUmzHRK(PRUn~6)CwBj}ipEIb% zyGyhi3{71`md|3J`DxubtY0vsy04}Ame84?yyGC-?s<38KvG4u0btM2|A~NAQ5;qN zvlvJDy03jsdazh33N=J5HzBqpEAyxef$!sZ69wgyl)|Yicz^(AY<s?)e94^~9E&w= zks0FNb}|QtJjEAwkxz<8*5t1@;g_;E)<RkX-KI3?=zZ0^JShvshonBI=5m<><1l5n zTGk()GzyppG_<q=eBg8A>k*svV_p9$>#VId(Z=Sr6M`h9a!zr{?$4ntTRU_STRV*r zDo(Eh3YofsQIit_`q}#}^&*32jBDGADp28%(O)-C+{J$=?@Lib_GWFSXLpAdl}sJu z0=|mhc~<+-e43G$mbyhO0R`(Q%05CoBXkD65P9mFK@>#d2)t1)JB{@{%1<>7F7+M$ ztEAxGeitXQ=CxO=LI1fGmK)wS1AbQryI<)q6L*N-x5T)dQ>f@?Ez6u=KVSPs-_IN9 z8>`TgDU(NL>QZ3OJ>~#%_!wHRF@j$%+`XZK@bh4jh=!hHh`e>ZZ+~hzUT49P<(`S$ zhsJDd;{%+}fXw@^GOaSSk@iJ4t3wYLiJtQXF2cyL<|RRvPgv*o#mB0rp7xJn{bz&k zprgKmp2PA2z1y#X4{@J&yg8q*Q1_^xvdO9c+Q0+RzSoy{WWLW@tc+`FJHX4IlqK4u z@ko&0bM@r*H2<~#nX&t1PqWGJ`>oIakazAsfsft-AmCIKnfyxIc{~4xr{`d|;Ur!$ zwYJ+w+BYD>|DlstW1GD|K7fd$<D?8PJ)pvIhAl^d@A-so>NpvlZhur>H1~>GV!dk5 z>iPXPS1fdu&)ny&?7u1t!Jb-=x6Z@f8{6kguWz>N_1hF6z~?=K@at2s^P%xutJfiq zYw{N!V9O-%IX^nq_a3wXE4cm@<at8aNt?9x^XOGlewv^2(|7J`Y^?Wrx3=TEs>?BI z`))hobBe(dI9g}&f9kM)ef;**^vW~1&0{*<+2F2gaN!g9-f7CzrjTg~fgVa*T$_1n zG_tGGC-X!5Z07JKBFx2L&OrZJ9yn%&7!)=L*co4}5Gej~&~&9cb4LVw0*{L~d2}|d zp|8^?m@*qZc<qk3NAW>;il}ncz5iRV9&H^bh)1+N?u%^DAy199anxrjS=tcwyP?Q9 zW$JF<eVwvp1KF_s^Qof)vt{+a3@|FrQKX|bF?+DX<(;>c7sAU!ACIA&p@*J>1OZ?K zz|6bvyj-7sfqGgOwM!A%-*ffL8N9jMfc0QGy0LR+m)tG?HA>mUlsGuc+dAPkL`kP9 z(Bo40w1fo>g@oT9Fxn~qGWp67x%zFhSTs+0y*uz=5PapY`G#@H2=jdDMz3-?UnJV? zc)K@{$mi>b?L!j)s_VT*nJO5ygWrk{!1QYnuR(<0-l?ZQx1`}|Fs^rAcY%ZV`5Mu$ zInH>6$8~>qIiPP9%zyneJeW3{Ph~}X5{9GJN8)$O9p!`-J<-?jSMkoctUj}B_{ZdA z5~U8zJ7(Y*`E~D^w?GE^M+4)+ew2?MNB;(SikkJ52T9Idb<Qcn0^&C@@C^WfW{2*D z<bg+t0%ofz_bw*jkTU3dWFjL-9meZMvhJVQpYxeMVD2+|*b^}Zzp<RZA9U?muI;ch zX7$9TGI43RW#J#tGu#;+J8Qp4Rf7G^+T@6;c=Gs~8QYPeN_19xk#KeNo=^l&XR&K~ zbtg%;sdW`rI(O<Hn=6>^+HnB5)lR+Rtm=_C1byR;!A=_Y9TO21$hlGDmFslh95eCm zUgUPQ<cuUcIhtC=*VjcZY@W|sVdW!PsULod?CT+tCI5{`#y5Epz3lz{-;T1^)qKwy zq+(baUCP;JWPsYCeOy?u*P*B#omPOLT|$0JgxhpyX7>S+DGLo<7pDWT)kHO2u>Y6D z^7}rjz-{)blgMLKvR?SxKhQpSA)p9l&5ZF#aBf5{rr>$iJ~)fP&gE?0uEr^x_Hwgr zVeJUgGzGr!RcD3|oYz(GBs|lYm<YW8Zu;V^90`BitnAi3W_rb-1va0>!<wqnF`D8- z&x;VoZm@+{Kqu2vV4fTpX-2oA2ifGg!DelqxLjMyXb+pe3}A<Mpvue`v4ZVYRx<Ll z$M`GeimdHOMZDhxsdi5^)$nP?8b#nC{55#-5G%ORjog{!cuE)Nl%cdu`7_$_$iD+V zMA<f_BaznxeJ$+0c7g5>M-*0j9(GQ9rYxq7F>fVGUQ}P<HQXjJkqyk+yX$i<P5mWb zo<i-D1}Df*;amU~Q27Yi>(}lcV^)SpyLVU(cFl!xk(bo2hOuC#?fcy%jm-%0cY~Vi z*R3MeXVgNFtkcc7PqJTqSSY*9e56eccH@4zPFnE0pG28le4J27rw$s68+WPKkPi<v zuUN*TECqEuD^~)$Z(*szDxX;a{PTYMjIM%m9UJ{St<6QgNDDRiQ<6Lw;_m$|#l=5= z;yN5R-HspsG#G4SspOEO5<BFHQ=;l69IH7v=DiQ5+%A+w>U5G1Bm;G;Jo#%y?ooo~ zZ6DeyvSw=IODgN=V%|@I=pihfy~uPheg{}j;4?KifYk!YTKuO?M35t>n=Q?r%;Dn* zk807_5l?7FxCWnpneW-ypB>y`)1^w?s6(YYl#HYdntKskMmHM!JbG}_)2^SH&w{la z>fVD8o(7MRw2N0GX)5b+sZquaN-d*Hlki7LC9M+sObD65Vz362k?+vaHZuA}e~8@_ z8-u_fs=EO-A_HR`M!Yx4GT`}yK@ft|dE!r{bYvZbUaq?PWXsGIs73_RI!x>TQC9C2 zZybz8|AEN|n_wZg$0<uR0^^cSJRsd88)oearhtrzBAM1tFw4adtPaQ(0Wsm)i7`rB zO1*!f$}ZL?MSHmo{IOz49kj4bVnRKlH!4h8UAqTRv$D;`r(F_Pa4!z@?qec0$(wLt z^$q!pVw6Vhfg03%ZH|`U;~U&dLXpL7uERpy<8n!(<;IRfb%QPp7aO0npbUWhdW|AY z&xA4p(=tRF_))S4DeOz45U)NW%y#@0C&|ZwehmTjb1z;Gs#zQiemr$4U;R4^q<qsF zF;)b)q5tp`46BKxF%+hGROlI4A!OBb8>Ae3z|a}P!dynEzFjQCxuL;xC62HU)&_@B z_ONCkKS=81U?(gCLDOIZ4bf{xYSfPKj!WY~sjft})nosKYMeZ@?}@`ocMRp&cC;M_ zitU0O^`_!tH~C=nfr_NqbaylK$J>D#Mv4naZWGN8Hy!nY{DIX+-A|o7NV(9SAK}(! zKBNlZy;v=d;Ckq={m|eWegEF6w2@G)uwqC+3$71N!0i8v(Hh<mNl~QEOs$uf#f@I( zQ8Gg9@RMZEsGJ%5EmliAp|n466t2{GT31B3I1ylZO=b&`=m#z{xel|5HL@bCxRU_< z8PUc}6!xUt(S#+iJvMeWh<5sVxq18ym7E`xGZ>k=5K`J%=5R|mLhxU5oo6f922o56 z@XXWjoE30RLiqm=YsicGs?f##X+fO;8r&TLpP}RJX5AkE086;(r+Z1md{6G74t*Hr z8dvZUH(4UThdeEcyurtqaPzx9_pLP{n#01xFRntnJiJWn_pC7-yC)NK)xUU_j%S7N z<HRc%e^WS&onzMT$MRpZhs57e*VhVQyl}rx=XUMr`qg7|)^<FA=W~J`^H6<#N4n%B zUt9$70A~i&<2oTtP;9)QG0u{}F6w($7mZQIKq3Ex4oHlj<2QymYL_1VNuR+t%E!hb zFy3mzeAtkzpK;t+(A;}39?wEdK+GpOBH+nUVHjFsa3GHR@qs(Zp|mYOx&eTQr?A$B zZ^Eh(SpT9q$zT}wNsVomQmY^)C6g-d@R4(t!pi_^4{w5nu@!QvAgSJtSto}K0=A)% zr%Z6v=nCw7Od)Ae?m-bMjTk>j_rwNi(Io0Aa7hlfubJ>3re=jyiIyoLUG&ANTK6|m zJL7%Mf3p65?9BA(U%B^jGY*oYYR#aiOerkjtQEMaMAA&|pLwxEM`DpWWZbfDRr)l^ zgQN$P+Tm205(UkGe^I#x``N-Cy|cmEWos-NDU{0##$;KqN>Yfsi!$<y>Tnl8YDYE# zHUe8+;W!!$flve&4eD<Q?G`C}{=-fM^2V3oP8!+jGH>GlEF*Pr>MD(lA;=*ZLXH<p zQH^*M4xGHS$%JUc2bGQ7nuQZ_HXAz4R9t~Eu-|68wGl#6`?^C~bU_%|SPF@PND+tb z_1t@DlindeHLF#*6UkA0jSx#|bP`>q9h8Q)%Nm!-X5W<?<n7gKOg-`<q#8N&GRSFZ zQ)w8NW%R_n<yDy>7>jBMoDe}7!K=*?MlZR&+0kr~rBwyaVG%`zO0kD!jFAg|VV45E zx9pAkwOHfu8nO3}q{Q`uBW7q*lf=sVxQRyC)p+~*&BA_7bB8X*?hL0a5hj^ly5SMK zE8)?^O0ZF8N<P(oK>~%iZo-LM<zYQw8V<L#PR;Q&reL;2)xBc8`|4EtXtpJ4e<H+| z5@%f~FS4<cnTEAn<nWiceg^sr2{r<C3%Su8Hu@tt`)C{FlvU}A7grlyN?_Z1(dkw~ zYV*;-NaRH?FPD|dQT>*M9X8;6TUW2Dl-OK6H{R;o_Ap(PBuGgS)-Bo+N4D}gdLxsM zG(YMk`5i2*d`7(pr71C6$mDa$VhEZi3f;LYinxW+2+))9qnE|dKf!|)e%1jh2%y;) z5S)vtP=uI2E=La?CN)&GAPd|=XG7Wk6s4R_-Hz9stPz65nS#slARUSZlljAV;db_* zfj&sO!c9ufgFtIRm~zp}*P4gL)y@1uWiH&OMQ^NyW%L<KMeIQMH;S(Ajgc)}vxY1+ z3WM0A%XLe$#E>u^-3?nZQnCet_OUNA>AL>*yqNSWU~~4<m{JTu$%5_O+|<lBm3`*2 z{teAh!SEV}p3Dl_o>y?;s8Y8Wm=2WxC2!G*5%l^4)Qa{$*C1h>eH;EAlDz<aA)D<W z9m$T+LD^)jB}VR}beT*(F1PZkhPRBru~ma<MebJo_1SJewscJK>(Xn0dKZy^h9j98 z?W$zj3p$)}37$<esbFT2HKFnsQKBGa?QW{mc0P4z{;Ux4!iE@P)>YHOB*SCccC<bX zuNg-+321S4wS`I3!`>K&sRw)|Yy_h+WQ!tZO5^D}81rrt1F$YR=hT{#*@2dcG#Q(a zpsy9zJ>_v{IzlrL@S;WFAx{GCS<#&tJF9;IpYLZTGX4+`(HK)$8JXrtqm{B4zPiky zXgI+DoK?ufGtIfW96^MsZLY&f_5dngC~~PyMFvH3g_ZmTDjjO`#E%1v)fb-Yieg>i zt>E;Vg;k2AH$U9?E^|i+8aKn}#i<6*VkV8>DzLjpce^5-h!zxp1o203x04FtJ`MzH znUuGq=vvLe!2l-4!9ZZx`~h?v{oOS)vlk<FXjRc43GJLkAr>(3_Cwp`Xc`|G<hg&l zNn;k`SUf*@7Ky#tSrPoT(}D&d{)%P1w)B`LVY~6+TlXVetCZ5?q2*vi{tOB+%VuHr z*XJnCjMomx_#NT_V4K#CAo3*h>sC`!64!dM!Qn4UQj2>UHB92F;nbL7tD1T{&{rdC z@Jm?Rg5LdFWRDtGw}xr3TAc^G{UXaRr<M9W?px)TSD-AGvM70|b(k0O6x6{Ux&qol z4q@s>Fq-YpR_-9daF?kf_F<|tYVW)ffb#|}ew$CVF2b%1SS@F?(+unTVn)(^8i(1$ zm(#962Ct?pmO>8vXN?=-7<4+`ZV%ygc3fz<>MUVAjZGU!u4bQg=ZB9gX@JVdIBUZ? zy|pZ&WZZv*&mv{KViCAb0Bc6qn`Ns!oJu3bPKUlA#h?4Zi6nxmw-+w($Bp<fzF9eU znvE;MDc$N5z{FXzhI>)MvS4LLC<TcCtrBTR*<^y4zG@(-P#m9}_^5!3Sk3zr`EcWo zH0z2Bry|bx3kCM*kU9v40wG(L_~14qR2<1?;8k}8EB=x%5v+JyvI#>J%WpNm!YB3T z+dn=XNbckaiG8sITsR4cgad-45M7mFnkSP;EU5YxP+3+P8eT4+npEd8FJXx~(t_#r z(sbsAtHpP50d;0sQ4JmUM6am&Uo52^Y2fN*i-^936uDg93188Ptr1_c)BTG(#5U@t zQ2J?=Bx36b$|5DYc>4;oUO)_6=)CspHL+8eM_3s<X31|vFFiswB`0~dgZ2THpPZzh zu1mdc06NuScNk8Y_V}OelMs|GBe9-UVcQ#KvYXI+84LfpyoWQtYp-*Pt*C_oI>x*W zVg)Tm#?cuH)&&URfOQ|-P$X`)OHI_jGHrlQsr2$eWTuN%JI{E6#eK8r2h{JqGo>2H zf=`ipMP6j*8HICcPcuk?`VNdSHo^!|)f-|$VA{{iWTK);$`$4?lrkR_WJ$6ZnT(g> zlVlC1>tPO()xZsE&YjKKJ>Ua$VnSu;Ra*Wt#Lv!-xebvOWvzc<n9^BJID2{}6Ml+# zqWFp3E-2VaKXmLbgac~dlN?jc;)7cU@>pv0x!4B74{<t0o+NB%e&D#g??}Mu!dWx{ z*!8`2#S?fw8uIKWN%AtP*nMqxijz0twCMeHjVBPm#d4^4&+lhga5jXl&&lmI+01*S z-}G^z%4w(NJy)k+Z$1=}(Q}=!Dv+DSbsj7V+$AA@&-z~*c6|=mlP;K`=NOicn>!Q4 zJ@rc*oiacLzoVbhuKF8A&VA}X1iBOgax0Y7nC?vzolBLhc~?u~Yi2wQJZoZ|R})-g zW+R$?KR>s;pFf5Up9MVc^7?M`-5tN&u{ds3y5<$yuS=?ym)-;wpSIJrXv#XG9y<K3 zs90o>5ap@FehI!l64B4)d)i+PU30$=DPFEUK_mBle^Md(AC(AheDzwcFua!lS%*%g zSwdkItFX-oW;tt=6mcFZ!%cZB9>MLt>cNCs=eJAKn()=HIglCTFJ<=hn2P)3sZTzz zo!kkg_V}En2m_XmuBI>;3Va}PQpPp|cHX-Ka%WI@yB8K4bsW|HW&_8_b}q!2yLbSH zM+JkvQA)#)oMjhq@OqHcFN-rkAn;#ewx0Cs$7n-CaDw*g8lR<ky*!X+v@S^B-drS~ zzt;3T-!$jZB5iN~qW;dZnH~^fKZs}yo(oqt-1I&(9(a;8cUbahm*TxSQI{=$7M@{~ zvWD)f5@9muan+Sy^XUc*QED`|OhiE!`dk%Fd*2jdtWk!lf+Bp-KIj7{-RJ|BsZs*A z5Xa%W#`t=#Nl*#LEj%{uhaWgR-E7^NA1O{ymna1hVA`UfgyaDj2(PdEyUd#VkwG{2 zu0xw#(Xfxid<Nbwze$#e3mk(3Y*COYal)zMu()!W@Yn_J9PUt!VGl#nU|bfUm|9Nr zj$STNFh;`!BkvX0*cpICz2HAnZEGid=uf)Xg<`$C{oWMEla)hUo@P0;`cB<99Q)pp z+!+w;WlLd&hg;%$qIG**epjhygUSNWr3G{RI35*533xG-0VI8D`=urRDbvu*E_R7= zmdCEzjpMxUBn&r*f;U*rd%k=;AFo`Bz90ey<Q`1ZL@Im+d)~l*f!vZoj?A3Qo`-fd zpQiLw8_yA+$`*BLyie8gNmJWd-fW`}dcu(+pyi9i>k`9k$lARbe`aeX(z2+onbdcf zas)lZ|H)v+%Uk1~6Z0B8ZdQ+fLUxIUq_JLxU^V_xo%~wUkHlJ71pWyI@)lVN55jC7 z)3YZo*-r=4vG_o`rW3A%0v@M@L76-=<p5<J<r%M2yN3$)5G+<INv;5A%#u&ANAd=| zZ1;96`X(WBmJO&u<9H%VE6m>(JdUhw<|pA^VZKxRX7oE%wM`gaO9=)*vyCN#gWmnU zZ*pT|(W@lSZAIJ|gzA32p&oW)VxT^+^l5fdCq>@oEC$dapI-N(6yn^Na64d|yIWvC z&AtEWKt;3B=loCfH?~u;YLNawfuB_^D&LS6AzAWJ8CtIs1uyWkB<Sc5l&2Gz>J>d# zq#ETeiW|xZN0RG5)heikJt#mrC_wfbJ3LY-kRSW97`gp6_Y1Cb@{M98rwpW8Fu^MH zLAXlKV*|GO>&^xjxCu<{H`cyPDdtjQ>;47yO?NdB|5<cTC%h6Yb%b8chIvJm<dKB* zyXFlKJ22-vzzsNdpg~QLC&YW%-<dXTk}G#STz#L<Oe%z~6Ajc4|3!5##2)r9p~)!d z`z1caaIZC3x>%>-Um6H`>2K!w1;>0@@utP3Pjw(f@3kwgcwAyWROf(}oYNY*M+RC+ zzI~QYo?a|B6hO6NB{ftRkF47%{Dx*Kw<92eb;H6qYn@2n?zl+x_%b5ZJoQS0Vzf@J zY8kG%g`yH+jWo7<Sq}`w{vrq}dBs_lg{bW_ZY#Fe(Fz~Kmi9tLh+q#O2t<StV9O>O zR|et?A$T&^ipeue^>O+K2qT32D;^iXpx4|jXLUQR;Fi)}*aw)_XDFeY)K;<JQm8)y zRX^CY<f>ot6C2=mEl+&imv%{#@5jc1{@vV_GA@MK0>Np9lm&_V9OIQ+B80^f;?!zu z7~FD40^eMZ-Iy_+wZpmVh^D{JJDr@198j|$v4ITPACx^?e(Pos20PSrET>WPp3xM^ zwoP5CgMG(47fD#5qm{`%z(1-0(C~2rBBp!+DWn7E+t{R0`_YD1`nea}7_xy%s#HoE zg2fc}AaiC4zR><2DGYAYRCP7^hkvc)p!gsef`S`L#s}*cdd2Wc@vKkwD-L@bgC* zjA0fwzIFQk0u07wQNHDX3&KVI#|%4T17+-6JtcM&Du)tD1rgmX`&$RAX{fNPEUS@i z*bQF>(V{K3T4&+F5nN3ogXybXfSp%Em$88;u=7#d>u%rzZ8Fq<;++ojq;ykU3~LcF z8oP)wh%2~L7pF<0Wiag=k)f6eaNblr!}3+M@xkpj2aOJZ863Lg)CZz6r38I;KX#+3 zLay!Hvi~LvQ2vKZA+MHiU((Z(Xy<{LX{}Z=Cv><}IxKRM&It}(>`vU@CzNdoiumL0 zOsnyOM9DY>(Z=t(Hx{yX&y4(1TS9RKnx-})jJ5TzRM4;%ye${T7Q&7%5TxxIoey>n zvEI^4qZ9!K@#0nsUIQ+3XG;U#`qpSGYR#6Zia*pUQqsRB=WG~Q-9YLXo>C1#wvlQM zJyN8WkAs53ZuwAmyOz%)6gYPzU-~x^nIi@5l>a4@xt@L~YPkopBCgavJ?*ixU9?VE zpZr4(FwMeVKtiLo{)(LiM07Qk`xcZcjwr|Fr9-=IpsKY(qMa-_?N^M%|67#`f6(z& z+0{<gYCfir{X=dW$ky<XuztfCXGSU7MMptCkIAI`TP>;Q^4sFte($3F5AQWwNfKXY z`mZGVsYX*?(-C(J^x#O*Y%tpdbz?axVd-l2`DSOUjk45;aLp!fK;={mvyzzvCc7-? zNm@KRy;{a}GW`?8m|&^VF1-`+FPQ!F(#?v1eVbcTnY0xrvQxqC_w;m+>uGP_MCWRs zsqO6Mcenqy>umRy>&aU016K(r>X}H1d`>mff9vd$Q)|Z+lFZRYXj{d33#^1Q;AthG zgbOm~LWfpWAY;dQKy4lU2ed2p?&mhB^XvFOtZygUp)F31A2nl%KcKXQhW}EcqhGqb z*sUXx+eIHlV9sts2P8S0>6gIK-@YklHXIlW$9*`nVmk=y82P;%F|lqSl?A$5-X!Xb z5(i_Qvx4<(KKfl`cnVvbkQ~Pz;xhj#L$ZnkaTU&NJYkCmcpC~Co{NnFepsu0SM$eR zL@jF$r}q?I-`BUeG*_s`X9k>`GE!WH+n*vj6e4Ngv6~I6n|-V;NViIuAJ@gMf26ab zrUkc%b!K9skMCW*U~4K64U)n0gw~~V9{3@f{I@ZWeN~9Br_X8wCYs3?YGjU*9#9lA zy=PJs8{~rp`2G6f%xljm0q3c!Iv+cIxuS)9BBzVYaFwjs?bf|uzF8<LANQH?wrO=Y zj2=%!IT9Ic>Bc7;TS0j2H%xf5wE)_Jo`iiEh^;^Jfzm=u5+9*kP9crG2HvzozKmO& zaO1ZHDb$KAB2nc0l*mWk6i(=DF|*xN`qv9R)g}l6m@K%r!2iSQ0dh+WBZivnbb6+6 zAB4<+^Hp)k^~O$GHkjtV2=AwiBy$JeZsyr+5C$KAHtCj3vsSeJ>pE+Zl<spfotfNo zYazo6JRLJey7AxEh>f6r1Ei>+CQGN78A^Cq-}>91hw)G!nAEhlMN*`6-hKOf$<$+A zi&J_mKo$q}&r9Uyxm;(jIms`*P?1Bj!CT^x1Uv#<^`|79hd$|H0^A#t6W*1iyfe<P zyZ)m=C+1oS$2c^PhL*}Z-f=jFvEzPEg6cnzJls|K=RfbL2<NiKUL9s1r8o0o$jq!+ zQp?g=1oWf3IME3v4<ljS@8HDEp`88;g2-q23EZKSfSXDKY<1wIhE%$p6?evwxmBOL zOwrXW{e({v)#q4r6Yz>blMxK?t;TLro9!dWY)q!{3z<BG<MMTSCVn5sameNN`N+x* z-R*9;3*p%Jvy1IKZ-r?qoo?{)WL`1T-gQ&NqT`2-7nocb{5?ma6klcQWJD2^U+@pE z!0>l_T{nd;(>^Zp_~GQayIswdD*DSwP|s8zp=OipkH#Cz8E9zdE+~TOw@E=h2Zp^v zjGlHjyuUeta7I_^U6O4GYXfM#F%ZIMRZVd>OBC6G^VD7hCs!Os&DnjsSv#iQ*j+wQ z;A8xMH`t~+cXRxV%bu&Q`ir(xw0OeC02fJpi_MHfWl_X6<O*d=x21^xj<%I%j%wv7 z6PExuQ>}t33AUY~)yf#GRm(TeZj7JVo;_1o)Bd-zA)7b28@rWHmnsoEOSh|DZm6b< z%c6Nwg4*AMZhAlaq^ll3La=)8e?dFEBqh-Q-Mwvf&j>gk<>Y&R_W9Ig^xgNp2HpnB zpSIhtRkPl&ri_$JS{$qVk0~wftoH_>Yq$8AJ71T5cVG6VECpZ4x)cxyxi5X7%eG>7 zBLbYqECt_Ja-O~)9T6RN8w?SBo{<d#j(ci36TKgP?SAe&*{}B8rZ@(CAv5tmkW6o1 z+mU_LPpg&dqPk$_*&#sxX%>R)1L$?dTl@UJ<2|p=iSWK>f$p<LaB$yVmqWaQ&*1|K z;C1}E@6xjOJqydg_1H9vVqt>Eb~k=H?E>m*75o|SnmZ9bGy6D)W@{>E?06JAsacix zSrwsDElycg<o6rfAKSeTC_!I+e;lA=>f0oAUk-WS@jF$#@|xKc>~R_cYNJ-aBaqc9 zbTY)$za%VwNqp@@4^Qgt6Rr&nfxrLfay?N*^4_^W#@KFT^sd*O@2pUJ#w@x}{R_wa zc79%2jz(Qom6DXFTGclx;~Kfger1{|-~?w{JME+G`N_gK|6=BMPa{O##mt+bO><Nq zG*8d(a!JHTn4ylWto0^z09{n{A^`us>l6ygg=a#72L~>VoJU#mKiwEN);27i-p{9^ z%gcbur9KIs^)CIqkxO4gH`Y=@pnKuXL!mQh6SwB#aNV|%wh8E)eA@HVaFtBx{dz5t zv<!GE`OcoGHe>3ttEJML%bX$ndHR$hy3hAgqJ4H`IEQgAHlt4t@H=XoTi*7UEVIqo ziS>T-<{-Kk7p7b)JvlG&QuO-9JugUXKD|WqIhfFM)A1xV&^0aks>t&_FlEWPT&mD6 zPt4oSBtj64)&l&h>>kDpp=S=-J&4N8MGB4D)d_x#_+7*ckfs^cun!GS^X!d#D0TYy zZ(hPqi1|3xhN*mjC*wvz^7?(-w26N4X~2H};ES8Z=x1;?fxZ|AQa<;MgJz|s_o1#) z95KOFl>bjQx(hsi=nImzP-MKjaAg`l{>Pxac%}>T+ojlUG**sW1LS=2a%B2!_n7gv zrnqX$VV=>Da}6WJLhaMYXVL=2G4*>%TXJNbtJ}(3!L1hHAw8_iaTxt66YC8AribRt zaLOlfK{xeB>mP<9d*7YgDv|F4b^hPLa?Am$h1(s+FT;pc1L$2=_qNiZ`{Hm$ipe9( zzKf?WL*zMxug)#I%<8_Nle<C%3!*6`V_2-K<nV|FH7WDCJ>(Fa^lq{_B`H>-o%ilo zXVrx~O|D?TJp3O&YmAck!_1G${hn8iBjvHN4u2z|IT_lbs(*TR(&xQLbbrpNp?TP? zs)4bl&m59xVyD~lnpuF)32N;bH!n8}T=70HnE67A%H~PBdn_w6v5P9!TU1n(Za&KD z0wFaj2ckOlp>aw&Pmg||bN6FQ?T5C`kOtSXw2>x|69gCn_oR8%e66Ib2Bk!V>0wUF zg50KWQPl*9@(BwQS$(kYusdDIQ}1J^3yMz)W_{0(R0VKNs;(UY!44$2(QmK<3B;e| zlYjlrs5YGxb?T%qAU=oB$S<iZztrBOy>{uikr55!8{qwoHQtci7RhWi3M2)U(JlMR zm!AQ7!5|HJLFF^5;*^gy3Lc#7lmTUF!KC*q4m`cd*_x;)?P*O?^nQKgRAwwQ^MF#; zC{}0`lS*Xs;DDFBd5!=x(swR}n3`}lXmZ2T5$7)tkUkZ_A)YJK@0LUZy{^9Y)+lh^ z%inC*(`7#ySwP-A{x3Jg--*^yz3s<>*9yRpI_C*%)C8##mie6vcXi}Tc)%NigZ}+H zwDm>)0c*%T$_DpUWcG_~ZB%Qt73^@p*z>I4N5Xmd0(YiUD(;z6(a(()p>Hiim+77G z*1a6(``SwLcT-t+I}U?g*EJr%xJ(lp@6X!A{zX8Cgx*E{&b63;-+<_t+QPwt#s~o0 z4%1z~)g4O>8-@!_?AjzZY(C7bOmCxV>00<;Xh$dyY|>(qhYt)$W9GH-O$HONU_C?w zciPP>)p$RG`wRQAd}Sg=8%8{;y*u92*gH+^NLi)YyT-HDbrenAxc6U!BVo60MKA>a z*nG^%D<#GFWr`kjKTqkCO`A(nx&v6)hiP)ZZCZ)7CA~)hQht}PnBS8eq>(1|SHy0{ z$bzzZYyHToguz+F`7xKcL7;SKZhCTyL+nynN>rnvL)N7t6k({!iJ`pX8?ej?b2tHE zhuzr6mm(E%8SLWO&(<(!80ZC(&b%=f1XA;@C0v;)iheEFnq^u2QlOayalpEB{Nhw5 z1nLWIzeIHY=%uO~(l1Y_`M_%eEbFkEQ_SF!!D{{Z{M6lH{BDf+-&XW61LJbcRO8rf zmbR6|E4-b>eG%j+txOySL{y!6*o)kf`enb#`e+#VLf5;zgIpTDqY`UhkoVj?5oV49 zh-6Wj#mBXwm<=t)CPe7}X}shFcwL<RM&Fs>CZd@4&PBZiQ_9VJra}cb=IUYe`blCb zw3%rcZ%tZa5qt~~5)vwIZ(8YA@hTwzR!cP!U8MOvcZXMmK%CLOr;)ZP?YwBenXFO+ zH)Dn9;TY1I@Z~5KT-<`p&{N@FJMn|CyNGd<<U^JMYk=DN8sqOS1t8q+!!z8J73K;o z$xlxjh4AEO`{Xysa*&r{<%EIs{+b7KWf6sB;}Fk9rIIP!1tuzS9*hM3{#nYLu1|u- z#+VqDtwFL$IzlI@o#~P5OES1zY8dQQs3`lNF?B=}NjLA<o*cqJItK@Qtq=k+R_v}} zBT`2vkkjT8wVP;dJph4EZwCBz4<~-snJh%8;TAT8xDI4N>V@ckDErEwJi@5EU_k=` zf?E;@FYXfbh2ZY)?(Vv{yE_4bySux)ySuxW_G>#|JDqkqbARm2?(FXDo;mkE&y_O; z$3njetpJ;dSD=KZf?U$^8)}D@^3gtba;j(&Of$*S%&+`W;nb+>j<w%lL+kVJ4$Ff& zpH<PSw4gXH0~nZd`|8W8fQuS5bb^F_hMJkuQ!7ZEeoPxe=nkE1yxw`l5$<N(3Y6?K zHl!-XqT@!RKS5)Phd=?L>?K)|^k~4UAssKMrFD>bBv5SM-9Mtkxmyq4F22d0HR*|^ z&CrW5--gv-TbHnau>UcESm|-%LA&i!KE|WB!Us#}CEmdNgA3=<RksZ+oo$irU)@D7 zbI)}Ur9ODeMK_)57o8EtPS8sOHtC6)^3*+bEJhZUzW$4ClW;afnh~$rqYs0RffT9V z$?cA1N5%hs;pOUYBqgpZ1TuEUVX&P$4z1YhS@Vyyrcjg6UQgnl=knPBQCX;&PIiv2 zk&y7kmgG0~Dk@v(X3^dWYj!vMZSbaUiY1c1fU7}F5Xsp*pwWBBS<1-)+AB=9iuJQQ zDKR1PT~?sBYx-e2<T5W#`OplW?<_f+@e_-K0<@m|%Cme09xRyuMuf&~P@9-L+y^1M zuc+KVX9Q?k$F@>AohYkAI3z_VFz8@Gv!f&n9xxb-)=8iFj2Qe58-?D6suF>k`JPW> zDQ9B_ZQMY!y;E26{adOm5Nf^HM0+Dh0nY^^MDVoo>DUu$|EuR30ufdIY(z8;`u;}J ziIR>_8JlW55z8;(8o0CXhf@5(=ttM+;+(6;8Z(ib@L)#OzpTOMLx^<CGRkkHAp7>C zUbpy9?QSZLUa1=*JJNFtlf}3<{$MZj^O-Fl#j*U0K3=Xcq+cKNm+uDSi-CGvJKB=G zW8*9wmL2iYWEGs(krZ<xojUgKxZQU5Ws4am&>JiWeKBGQ307$4{8(4N$SfeywV^AE zuyF_zIxl($MtXg+w>5!{@PW0S39+w!U58XnU0Uxe@{QWtBx5F%xbaG)RXwW1F9V(} zyp<kOTt1gbzR9d!0QYn~(kOIPm+!~Aiud>AW`XgJK!{9hLbm-)+oTdG?UQSANm5-k zas7M7T+?58RY@Z@tYPeKa#U6_OE!nylkm3*J7j24JaA3mF~Wte8;2Bu*K|J_C@nWl zmXrcw4o(vyG>W@Saywu+QPRj1GdNCk^--r)<$ynj;0g;DFtJ~_`u(pX11-(c6ZvX` z`Hj$_w#v72azki#=M>iQfp^2z%2gnf{Tq+a+NO5lxXiI3qp6Nax@LzFI6}|6-2GmX zINxtFOO5s~^a|CuzGI>GddI$1Z!O6MR$lok@#fN0{v>rG-(neOQ)ZMQK_bWOfU$x7 zH@8sp{&c4p;B`QjwUArvv}@Sq89}L4s;`7H?#b&|(?rYusptz0=ES3|53yR9#$2|M z9w#M-y$beXn2O6`G<xi|YODDryFoktRb5J2i-D3CN3#pufpigG<ncPB;!}eI=e%HA zkhGYl(4nGMG}~raQ+H$I6PD(+Z{nHf=><x==|vkWfKQP0@<x05Fn6<D@3Q!Ab}^F* zJOxX=FVx{7ue}#+BfmZdKL)<9ywkSZj_*;fC*lxr65hu0^X4HWw0e2^gcA^PIrgK_ zG#}37E&^@5?{l;go{yte&m%cjFNdpIp5<>{t!E2YZd12MgzpKNtn6$NpuK?sNMW3g z;bcmH;Q&+?KRp=gc{CP}sC9bYW^?g&n6n+bIDeMZvUyr||4P(+KlE;MW2f}GkkAc# zzJ6MeRSm*ye<^;|^0*HkrUd{&i-Sn}7&5_7Jod)rFpW&MJE=&`+b20zgG|rj>TS38 zhqlA_x4p!+7lK5OYG5;SZ+5Cbtb*i#dmBg=v#&mlG3l-zmdn4-NLEW$TXZwjrLT5> zwc39*npp`MIZv=nbK9d6x-HD7h%?#CY??0!PSmD(7>ti!%PL`?cC+0x-rFmD6`EZ< zH?YAdiE5k9*%7Q`^G%c**IBz81zofMewrB_$2cHKogd75&rgvY!}hRv9PEuxL;?zv zV;3<>QkL61bal10b#+a_c^G;LHms?LZPVt*7czLqi|4_TcVM=_FXmN?jg8Wv=ge<J zia(H(8}DSmkDL7l5$?I3S4LOJlC39Yj8g3+%~<S%xas&@>~z*Q2aDC&=!3P3uH~yY z#E=E##MAQ7lP9(X=qmYNBQ!ov0l4hZE?3c#AF>Jpo~>OA2HURLGa0PoFDGJSLm%<r zV(OQEnvw{4qxSVx_QeOeL*~fn^%8+^EWgA%!>KZ6!!;|BWx7zdHnU*s7`MYeqzW?O z+Wk1{b-8kKd$bx*^tj(IRzD4~8W45lfY<B^IsXdkUr(EqByy5Gp39y&0NkEo!}-T7 zO6SM=9=f)pIS&LbxCN6dRn9LIF5?f54z9~t4yN~|M`F6?t{f+3x<L8TuV)U)l-hVh zk8IAYf5Tr_H>o8a2x0C7o%{RppUT#L#RgPpqP@+pRC(=-v;_5NC8m_p7S`)5_7j4G zna)F|b>vf`Fsv`eH{OIF0r1XtGn7_xs;tpCvO($i5A)su)A?HNece^EIb;Q<OI3Cp zR3k(EM%1<9n*rI<*MawuWTSsXj+KKa#q~C!MbaROW^9H`yuPE`jEv>=&Gig_$(fSH zM*O8KZoQ$2%SMkn(saLDAIY8|NoxysuzmJefJOQKL&Ht5dQ)Q~z$i70NM~SwHNxn$ zQoE1bcrRQ1enpUWz?SYT#+KgFU~8fSmf@<4A02Cv-8@D;BES8IyA;~oP_1k-fBLF_ zvNYr#q6fao`jd|IW%Q*aDiG6<AJ$Ft+Yx_X8C4(6%FvK<V0j5MyQ7Xo<pFePX!N`E z{jvUqJ^k&_k7S-LAU(XUG9KeG#{*Yrv@QIrvlDii=NC)8E&FH-qcY^L+)ea$x6BpK zAsMlM*cLNoXbzRJaF(Z?XK8n(#GJW-OZ&h@)3Ht0CEH4?f8}+5)b2E9l6oB^unEtX zjn~niu8)!V*c@(!y6r<J*@a4+S`-Fd1@IBX<JQr$O1~Rr13E;g{aDoNfd(+YOE9+M z3WN(Q&~#;@q8)+e5S~ZlU8Nu~f!uS~oP+P=EafwY47Qa?0mSPAEtC=G$Zo68W>$e( z&BkPlr4vIo${{~nY#|l8HKGSvT8q?0|6W4M;V^G-B^t0wul^2hyy(q1s(ZDXHP8)i z3f_4Ro&?gQ0G#KqU1rgtvGc@u`E0C?@u+Mv<iu<*S^UD@)3g`6Ts9Ew2q6~gN4?~f zeB#nURPbX$_GcalJ0qjK*oN6X$<m>j)}U?yIKe&ia(Z-DMbObN9r`MM>#XVv^K&;v zUBqtP$A+K<qNSxS1S*UTRkxmI7O!D8Z3Z5~DO$Q80J3)sv0<NzjugER^td*>UzeNy zc72Ox?&WifZ_CU@0ZCs5u5iE%njgH7wKFDnI<9sWiS1okuWE}<vM$b87t^h8xn4L^ zg<mDm@Vmm6v2ru)DxMLwtJ_D)daclki^&RVt)X_h|3qN8W$QM&Ho)8)!WMQ~LSfzb ztF!kNz-)+1JxVq_pQk)x4Bu<8PsL%46-UTINeFss4P$Tfi;qyH-t6Bmc<^cA7|Rix zbMB^vR7>x>+J<)1AIrHBZxK&y^WLVS%PFP`3n}Z_`B02HYAV3eMhgvBQg*CB4+gb( z0^)qqSL6(3`B_wIr!{+*a;>WJrb-1ZKL^JEc(@|@+VYF~UunIy*dKkta>Jjx3@vkB zkYEPpli5V-khuCr_*wkucC_aTA8s#Q*i4t%yqPI0Y48i=?M<sND?b5`M*}|a^xjiC z!F}_Efzue2ZLb0tIdaj4&`LCOB9BS@7Kr06BXvXA^!#W1U&~mO6?Ju}p&TJX!ZBq4 zrBm4?=))(u?k7LG`!wQdp{I#q63_{)7k*6uSy-erK9@Ld_ZJTNv!`i_gZ?QxcgT?d z-Gpd-nRMfw7KYE%NZ~{rVO&p6x{rO~zHIb-T4#RY+h}z?Fb~}s!4LZz(EUVXJ5JkV z^X8zau&(6ZO$tHh$_RQo7-3v-JHI%9rI8=YLqA0Qs<+FaY}fnWZN(+=6+d#$g_ud6 zp2*A+Yk+B?>E~R?*@sL&Wl=#)m=N7cG}PuR>Svs^rZ@MC2|h~I!a&Ib_?1=3;cOOr ze6`1@p3Jo4J#1u|*gi(^CJp6jPUxa?W>V)IBd+ZEt-wqKdwTh($j;Lff8Py&;TBmp z3N7r0YElC)M<+b(LQ1A&AokN$ONpjQy}>{#gU$x~k6}1*EQA_Xdy~<Nh#NxDp!n0Y zX{VwaWNx>)^xW@ne$T&%u=^GM&cg1mitG<$Oe5c^k1SZa6Tv1=K*tVwDj%Y*J26IT zgF*Y@vFi;z=j(cTUe7U7^(kL~?%R5C!Ead238r>ypEpjoGy5iXROY-3b&}=I!;sye zHt<mAqz7z%jpuW5g_aS@CEvcjT};(3L|ZN#-v(IqhclIZt4mfuBByo{mBQg+O=$Kd zJRD0<<zagmf&JODA%7sqNCg(0F;HjShWpZ=ab?ZO{D677jn|PZpubfFp#1zyM&C9t zyWpLKVH6SWi^MNPU0D2gb{xn5@u*(FV#10FYq{*ah>t}QhqXcYn@+--#PFz-v3Q?A z;nsp=om>69h8y*~Wq|?Ojg9N_+JIl$WQXs&YR1TGm5Z*Jc||(Q<u&hDV`i9o8fuhy z-Op_~%tJB@(TGO@*}dA5KuqOo@&rr98Jo7rpV!Y)lVu29_E1n~Gz~SFl4a@e>cL)W zy=YrZ2;Bl5+)TUS=e8&?rXU|nrOp;%m>;IZiQoroRS)UFz8F{;M9XTZ7U^!~fUpe# zcUIit5vbC91L8!#spi+@s2#eoYm3N-JBz?Ly=*G{{7x!)aWabtpkB<!U&MW1?8|e6 zNARwvH0;mMn|$zW+coaWa%ko{l*|U*#iP_d`t3pBMuP*<>TR(vOk&1xcFUa?*}GGa z<GKYtBJllYU!k#ZPiUhMC%n_Py+Z$X%r{>A<I~gcHiKAa_AV>=6~M#|s`3NPZ6{HE ze&=(}5YIbAzP|v^0L#egDZA4=g6MlbG*FSJ_fHgFiW_rhF*}8qpnQ9C@w6~jh(9OZ zVl$|v+rQyJ0upqZ_H1p!N%w|ZUQu@f^nJezcy~@1%r>BISq82?bK%h{KGDS@TRA^# zT92$%-#R`sC2dYZJ4v5&Y$CD}7^dl+E`$!-QYW$b=<MGl0jf`Pl%6+uqJVb3@K!Zy zmh;;IwMoB+F*Zl$_{pV3IOPjb;ai5mM;!6xR!2*d;P-+4Becf$(fhP(s!wVECFX*n zTAGyp3F@w=O6yAn?n2$^GU9-VxCVlR$Jc`0o_5;g`>N0^WTU4YC`7HUnv?Vd-@+xL z%g8j%i<?Vjz&98g^ul*-+PrpH^w^>5xY{dl`*|71@wwl9xu0XybJwWis0OjW><a^J z6U}uO$~pG=@PY?c##2v3TXb9J3CbOT+iQA%#?zfg=D7G;`@_%IHaD<N`#w}v*?n6^ z&&6$EGCInAWA}aQ;X2_P)_rll=Z@0*8!d2y2Q<F`>FplQ@KzxXAF<>`|NGwAGU0o; z*k{6rF4~rhtlmo$@P&|-^1IgiU*Pq1_)b7e<!pW9!IyH{6YEHS$LUxW&d;s>bYX6O z-bl;&h%vddva|D~(xbnQaQTvTUGt&gG(shjI!N-S{Al~?GSE-b6J)oA(!a9#$kBd3 z+x`TcXmK`Nm%nfJzaLi<J@z@ZHGWFJIn)xF-|jZbY-oPJ)LL%Tc|o*Vc`WUvKG*P* zobz~6IG&WENx9aT9L(@tEr|6DV-8P_A7wzVwUS;#&K#v7j_q&h?Y%v=f#Ogg7XqZD zA^|s2;^%=u(Jbpcr-nKD__5g4NkdGxj1$1c&`@Shq^M*8Jyb5=DA5S>K7-A})sQ|u z53wcH=QFO2;uO`}oJ`3d><-3!m~^i)S98Te2L%V)XM&@V3=WzG9{ZnW_1Vlye=`|c zO=FEe2INs3qTW?8(9R8xf+D%|W)7!qPgthkBLvlIjPD-su;HS-(=Pc`CI=_j#T$Y0 z1*tWgME2|K=6{*WgmZJezwELjb<;<1(#^q7Ne4RzdJJOtcz?UNpJv`b0-%Mk<3Y=r z!314Qg*d-w4LwY6xhME<I_hppgsB?pCxu0^M6Q#uQg0I+46NXJGe_gD1^+WeQBYEe zPbK0<`r!EEevSt52R!y@5gJn5i$J*E{1Yv9hXcWu2YNS_BrK*NVbl%>R$8xk)T8^m z&$A*Y5x0$Yo%QM#1T%%+JMYPOkVAy2_(}ShfSyd#bd1nc%g}q8lW~WeM0<Pnd(^Q8 z`m$)N@|pohw7EGb*0Evv?bsF1TI{S@LPzwZ-I<sWYlb@10}h*@Rf0OD5-{VuU4uF% z(L(X|eF%Pq^ul+WpIKEFI$W`0^SGihBOjp#_}i|a!H&i3TolK|m68l~qpl*`{p^G8 zA(l243o=$>RZb!Zi%+ymC@SqpO6y#kPCu<j30*~5{>nvi&AZioKVHcgX|2kT2^i^j zt@c)z$p&Dis-{;a7F&((fwGa{2OM=r#k(!-Il(f&Yz9Zi+|F)$57N}$RE(V1{w_Dd z*DA*9>J9W)U&#&%#B}|@7&aj{hWiot9jdzikw2iSNWC-$awI27khOu$FK<cyZ%<KR z`qiRX#BA1c9ZEB{>`bp)oZ71cy~b<%vnPEp{W0qB4SGq%al9o75b`yBhv{Q%VOjT@ zd0FO$8D$h7IGT8iIDLR(+mZ<jzocH_0F))Ec;(h8HAwfX=fdiMJZ&cTDVQoRK?A#z zQ%3SK#lh#bYScK$)5mY0_apgec6&sEE{u>5ssblBszRnoE$Qyg(X+Sf!EPy>*X3*I z;ki(1?gx2bNwBv7#4Ng(ZDEp94~&S8d&2&yH)N~`_Y(H3ge$lHc5-IM+r2-+i}}>% zXrDS}?D`ls6~6}ddk%xMFG^@PqpE!(aoL_TBA<rg>dzNTnaurf!~5R0ENjhQns?#i z{T~YpI2|0YGuDY1zNw)Lt&rlPFW)LsJee4ujJcxk?R3Wgc+}vL&xNn*0kimDS>AU8 zV=uJc=yO$<n7>9Q?nDPK?0aU2`D-b6FJKs<f=VsjW(As5e&TE5nP-)LMqy2=VIGur zYiDM2kfnKO98X%HDQP|f>gfLd(3)g)=4+&r;n#j9QV^0+O@Fj!u*wQJJKC4k&PY5Y zWUtw(sZ5UrD6Jb1wp_ON)6M!68X=gKs?QVt88;q(qAAsdw2SNg0`b;ZooY?w?|LIR z8Ev4#=()9pN9yxpPDjkf)BQjj8(eYy)02=l0~wMIPcQ<8l&;OXvM227$t~+iMyYkE zb4FJjCs8Syx=UL6r11`EUB2!!>eg>=%h!fT)^PMBfFlqN&zk-cVo&;$z2o1OPj1GL zt$lzpto$b&J^poESGX0I)6wnH-3Lj(8$Fjq>|rc{U_`a~g(}LthCd%_^b~#VemDl! z1DWO9Pf1D0Y|zZJb{oaa!5DD<Nd~$a*lFq*rKsPMHptK{*B`pOsWP~0WJn_>#RXlc z)6R(d04ro=b61`%s9)^i5?|S^(RzNY>Xidxs}}Si<0Gou9Car1m&M@<oh#R1W|%L7 zCT05EiHy50+<%u2n6rN7s5yr_gQIe=iBwEu(f6D?W5-2TG>q$nB8PcTEueVl?}&fc ztj}LOdX50l)f+!_w|9jFcScMFwx!#|$^6I40QSw$(o=4==eh1B_#9L=A6Ck{KVyh0 z{$Zo=8(ODg5ANUl%UHG_B23mET#Q^2F?wvG9b>u!gBg8QzTpg~6tmNyPW{*^I)xeP zqt%Y7!%}jJj*!=r-H{vq<AT#{?d!#)tFHV&l%l_Bqa3&l)lSIroy=0m)Hwu(6vQJC z2mrqeYuQ3yMJyzZN-N4x)Abj{<u&+Ak}FK7OoMa}w_bkkX@ULxyJM@oc6)Yu7<S^- z<*dK)5@EXc<@Tlom0b>C9ES-Y{~0!v5&eFduIH0dl=4Jhk-*e|s(}7|i@Qds$KxIP zsn<uRaPwZ?P*eV6aB|5PK2-T^=tE@UAfR3C(0`l{!*u~ZaYLjo0jmuoAPylL7ENoW zeD-G_O|_-0PE13OQ#npkQ$ig)^vj{}+|?%cS>QIJWk%0t;9vKW43rK3228Oq9L<_Y zCRG^aB<5C5RMJ-UZ-E;SY}xsKN7d&TDwir1m);@{JTswRlSIH@DTkQs9hWD$6alAD zcK|XIk<M4bYkE<O9~pvhlk+P|d4Iy79cI7DHp4579jOoZ{Po4xbG2>9_XelNm$Q>? zD}Dn=<}+Rm9x(c3AR&J;%ib9=NtCsbD(0#GkdS<R%B``rhatYTS3mfB@fYiWVJC$S zwXnugS<Jo{Z7j)mJPoY-rVewm&p^)FH+@L$fcAC8&JlEBZ?>lhlHN@9u3qYgUjB>c z8Dp>3hNw;GHgQzp06K^H<$p&(-F?SLWqII6^KiXcAIc40?28hyf0<PNDxERtobCuH ze}=YtGwg>=CvP2}I-B{*wl%>GZU@@V1b=O(`zD<JLi7Yh*p$XpP6jgcJOB@{R!XU( z4v`x3MKF(BgU}V;<72@PWZL)yd05Q`TNGt18|5gGAPRJ}Uc%(h*6qy1>w_}=1X9gv zB*;c>RG097I$i_yBS+V`!B=7h6ZcuvS}Sv2kt7_G;W4zxU#Be$r@^!9Kht)wkA$T3 zU$`Mm&!a89S6$-ksA@}QQGsa}OdIsj3ocU;DDJm7W7JjMPW36S{@G7we5^P;^_P%H zkCKnxl|*Rm!j#oL$n@TaZaUJ5MEzhbD<}eYW0-g76A1rPw^PlNtNfC5Z11OpT0+9P z`%~F_YC`Xjn)00UWu6YQp|r<AG+x~C@H$3>BVJ*`L~>&qaz8jdZ9qzB%(CvpXa7M} z^{Hd7JN5|XuJ0D-_UIU&`B~R&t~;ar?%}E*cGZQM`z3*vBX)Y2cf)Gh)Nt_#%JT4M zEb;XnFV?Dd@fC`BPp<B_ddomZ?RV#uA4qHG$Yc0yA5n*AqeE1=$L43Ty}YHmqh^fP z9yW_+E9_felX#VHxq!nZv*!kE?ZFRnMw?=;fYJn71@`UY5#jTVoWw6rzu)(TjB3{W z=G!8`I;(+JT%NlF|J0r6pf{JPL<tDJY|ZyCc2Tq($?V8I5!h}nv>ucSME0kGRd$Jd z2(8V+DygFA%^?Bh_n;hE*U1bAqbz76d-m<*dU{e$mBKPxEdYD9Z*HwXu0P_`?#}40 z{b{YU*%JFDwDA=bd79L;8ACwy)@^kD+OMUQxpos_MBBDg+z#yA*sZ(iUm*@-eOYtT z5?n1U<#6J3?(Q5}+2oMaxQi@bZP#&s$$P(9C3ZS%?s+^BE5D|BR(;=)IOi-`v)(O6 zUZ37Cjz=A~0T^=*kUaDd@7u1~ogDWN?>acF7VK8P5Z-;n%1sTK>ektg7Jf0AY>wTP zZHcD~?e9IoS`C)gJN@@yPxJn^=u<3@hee}vkMZ(jsn<Tc@wc;ITCeF)Qk+ivA#UA# zwAlCpV2DmVj<d`Lo$-;)#Ed1U<>GskQlf^#^UV85;IyH~<*`lWxvIR8<L$B3$+iCc z80GU5axoV%#Z>TX0Q&vgiG!PAdil~l52$)#P&e_o=UKg#z4M<eb7K1F=xlr-+{jmM z%Ey5xKKdp6_@?0p8`jTuca3z`+TZP}#U*>8=c^g6oyeY%NYhhlRjotC7hO6UV!4UJ zuv)RofY#FY&z3yNy{-;=_^{yGaotxCQXYg=e~cVGm#x=(e3sx9`(itI3jQu7dHC*+ z;M|`-X!8X4(Mt`#T$YkJr@dGAiJG(5Skgx+SPNsv$Dxe`K;7M~Ri@wA4>3wPr+rrw zHUcF#d|YVU3?)xC^A)3B0HggoNeK;W?~@H|;HXD6@>I0?NWW5&#WK#GZa-ME#jJa8 z&ZY+^JX&zfjJhzRgL-;eiZ=7qJG!#Co^_`4!dfaa?XtUDN6LkU<Le>6tWIyiqzGwN ztTJ8<g-LcH+8#9zLyOvKGS>V$gOxhX*M-Q*mFmv6p&jqrz`f`$;4)!i4d)5NT(6}S zaNhj=#l_|FH&^($iQDl^PtB9Fd1P1n@`Kx~-+%}MIqa?oJGm7uO7diZ3BzeucgOs( zWn6wZP0I>*NPoDbW#<#$4l{z<R&T_sAn0N1Lg5N2$i6CrzUq0`pHu#yd5Km}ssuCU zb8kYEz-~~>4rL@MsrpvaOiR_7<LggH0B<=|&f#on^JJ7hV+7&s-`EiA54x+QZ5)+z z!?O8JW&77#S{Hc5Nt7?$!pYUcTv9{aOXcq6CpjLkR)No^<20cjpEn0xO<Y)giw8BZ zXX&_8o*VStof-o{N0#Hy7>cxI+P$+E6%)v{F>}EyM1ff84;0b!!6|qP<#!<;`S*ak zGml+2gI>1+^ju4Ba`w);6t~seO$YK4t;k8=I47p%<x_Sxg>p&jb%QZaSV8R9)W*3` zv8Ujj1m^x0$u6{jk$L!l@qwd8?^mNL)=c**&`hv9&eqd<f=6vN90tcsu!?zpiPC@v zzC8oUy~A?7o>iyi{2*Y)1Hx_w=#rEJ(^IQ)Q9R#l3v&NSRzTCUItu&>$_vn6K<KBL z6tO))(>UQ`Y^HLwJ*m>vc?u2Fuq~(B=Sw`+-^e7^RVWqzggQaz_y8{)OWKz0=jchG z%ic}5y1@ET5o0(rgdg>7%q`pAbBP6v%J&m_T%923<y^l<w#q7JVYQ(RsC<W-s!|;h zdX=WA!rEqP4)6AqGNxp$+kRMRTfkBpN3UQGXnuFO+~HlgdxzgW^{y$MK^>s<xBk-T z*7b@%`W6Xy$fkca*?1d%kG*+EXsu=}ZXOCcMAl2?wq!E0STslbl`;r2fKkJWre!#1 zXdKqCmgp-NIl@Qir4Bv?8YrUM%QGt3g3$UM)0Fg|$-%ARs0%N}wRvLcwag@9nV*?S zOoHJuSmx`<=TWjRI<018JisxpzfW?v?9DO+Y~sf)gmG4tfo1dB6$YGv^UmC`gnMGc zkcuANR)zFc5iEVRvK!f7tOS@?n9DIbQ>*q6I-i%@!?8qnC3u4YHs?t?Ll_D=k=<4I zsc$HD5ZV3)UX3VQf>~n_lwNY~1>VY8{Ot(e$-DBbgW<$)g6v8Qi^IRtFTA6|a$W?L zyf}m|R;XwF>NWpCC9F$jv!q<gjv(AGMrY`!!xD032r&U`y$CyfZw}D^{>#*$_bjkm z+@X&Q=<Cjjc^eM^GJ#p-rO}Zs^+;XH;g1jOk`sfDUZh!Xx2GJSlUjm}B-S=ImvHds zq(~}95zLG`gG`A+9?jEA%B=B%L{socUrY@gx`Vc4Qj6-D=(fkd*-?b#K0k>wUgdyX z|AO2JzM3>t8tz;|Tq^mnF!7&gu^punJPT|NUmwGi)rJ26zhg8~70=SXARH$mqWG?k z`cKY!cxDAfv~sI|$4FncF&>-y^!p3Z-ALDWf1M&LSwpPUR;=ifi{KfS0RbdZp_Rb? zI%;v#N$XMK@VZ%9*>9Z$mXI^x>w64bnV51qVcQ_7xh{86|Bpo|ohPk)b@wHLV2ORu zSd1)r7pHF;pt#|L?B{LUL&B{Wb15^CQe*r2gRDdopAg2X__@`Zn?tRe8W>9SO|Yx~ z2TwAVSRf#N6oA*a3RVA`@tR?NndSJq0i^z)^$55w<6N6@q-Bi^FOQ!ih#!(zLdie7 z{nB0V-=bZQPq8AUHnro%2X4x529FnFl_gH~ByvhFfveZ#;1S|BE2&SvKYyog{E1(8 zLZ38^#N_wgt^%~_0ts;U(crmR+-#7;KJ%u?l%&ctGGS)ePn{hJ{)Tm?8pNUQ_K0Zn zx4wphvo^`u{#1&r16zhu`|Y7K=qketZHuh3RzId8=s^9hjoBbOuKN4s_1@I3AFrpe zL;oeDJJ6rUjc)SY2O{VI14bT^^RdO|Xt@8cNA1pYQfY`$tXO3P*7EprC#pWnl~R@B z<UF@DKq$+e$nXRi^zPTxg;?T^`Y#F+6wl?e`-iRa%*NcEkHBIwDjp1>vpJ6K%;|3b zmm<9*%s6J^*cZ8OK^ejCFWEPhMpFtNR;0x8E&!o=oS>550JZ6=viS~Gjn|e=)S{V@ zEvw8rZ1yEpF}o3a`1-ScpG|<2c3pajNjF08MFs^eYV!zN>5p?|=My{5#TqQ5rko2B zA{<!6O{kX={AXf3Pj$1dz}r&N5ryM;TAEUN7(x71y#O=ren=+Ow+{IdPL_tRhMONf ziNMbG_Sf8cxV}vYB*6-hhS^*^tqm{j1N|g{l5M&9^F-P?B}XEKW=laJR%PGVday!1 zqw-I3fgf4B-I&{{dP?|DU`;1RhCLR_Xz`ct$<o3<8TRdK)#*qhx9bpf*pUJmC!&`V zky;3G(&JP!cnf+q0Od7KLRwgYdoS*+9e_s9QG|^#>nQ9^G!3eVZ*=Zm+N`%UN~5uk zWA<tixlP~cg4=xUU}#rJyIVQo?&+_OS#1^OO^hNU?$c~2DT517q|X{-y(qT)F}0{v zZ^B3wCdT8BGP4nwcdJqfHe}u}LAu_$V_{oNeXtO$ee!8^eQ7OhKWvcLLDuUh0Pu&y z_ra96J!Peqs`cYbN1va#YqOzHvL3Lt{H~%3M#uTRbvJ|2zR0Q*u9@ffD!wsNbm_VO zwP}<J8fseV$239TQ)9|<R&79uBF>2d;ZYxnKnI5Q-fsp*HEB|9T<-&GxKNx+!}+C& zds#qx<n|0_H3ZZSVKyH;y)I284di65`WjHZUDne|72t8+j^?_nGCHb6dXh4pj=Q>1 z8Dpw(u%mn6v~<NW{-_Q^7a)yr2*!C;F8#uWoNnxHtIZVKi1PXmB<Z9@YS)`o%o3@q z<w6F7$QP~}!en>5aVAI0#_2k^?R37(TGPFHwU~IWMrgxo-ni8b+=Vy40~<@v?e~!T zi?kANkCR49f^E;d_o-SLfp0PyY_L%(=Y?|5p4N*5v}||Tu;mZQ$pnGti{P5=eB`Ei zO+0-DBYH=NXh}g&YD2Zr2nQd}s*l~<zAHh{E1~_?5cagu=M<kTP@MbLn(sYwr2A27 zB)H+cs*@m8?QFIFd?sQ9sCzTYLVwNrD2ZM(G9r3hY3FXPx@{&NURMu^KPm{*H+kK7 z)YEFdN-tl2e+Z_0zwe|pLIDhoFY?nyZn2vgfO!penfZS6Ws7kgbf#oAc8*j^c<y8~ zc0ZQ~qw%tm0V2}f%-#}3RQak5N~?g`MF(0J$-?|L8Xb^S+o1&T*l=cR;XG<uYh-!0 zqleIpsFevugy!7aLrL#7?Jr{Yz6;23ljMqdZ8{GnZB_y;gWFu$2e}it7*mtexk7%m z({fBN>&>z1zUS+vd$AQMEJ%@v8!iB=C&;??kgN7NmxP+7ile7oIN=)T+32&&cBAUb zj+YAxqM!w8<}4uAlCYV^S)r6YxwHTDd+7ROmqUO}(*n_Q1DN`ezuoR*KK7ZKk!5oH zD?X!EgMkfy29kkKR4B?H386Z)<<(vB{>SZjn;U48ImYalOFTwI(<N1Wmrst`)Js85 zzAf(g&908KOOPk!4GvQ>jLt*IT2O-UmwwvzUzg!n-t2+4`rlQ4J0X+xR4X3D3Dgm- zyVp*`p5YIkL#R3tB4o}ifvC&$_ZFKRLeK;;7iu%B+ZEp<9U>oI5z9%e{0MNsj`rRj zS=NQaB?FWj|5Ox*x6+fMUJr&+V<{uknTiScqFXnY^%^^IS=KW<u3W1z%kz~8?XLRB z5Z0>S10n$wN%ICHOUs-kFbC^>{$jY>Wv_3J6t_Z@6}L`*(}~$}=~GBk1D(h}^b-5- zBWilPUzzKYhW@K7(vHpU&3fmWh(wGX4%>%~t=)DLBWo>^bESNe&)qFMpqJFh5WM^0 ziNR2QkXxYPeNj2_mo`ov`|K`{v~3as%kuHs);S>HgnUt|rYvFY23_S*QTq>g?kZ)> z32SaESK?zkHUh`ZoZZe2`&VVxt<<==Upm2An<vAKkjdxSm&3|oj~)+__&j&8j2)k8 zv-w02%`YoP1;+_|;*ULD7NfQtqbdz~0B7|zqt`Q!@4leK=xKXCTC4>92Az1BAdsNG zwizHu*5(QMZ3(N}`^;Fho$QOY;XV~V(eos{O>G0WD+Er4Ct;`u>({hRKBl9Iurm&< zzGN<IT!y~leACg=eY6E*p068g*;aB!6la(@4!a35UL*D+kj8-RDy?>MUU747!xxCE z{!A$fu$2xK*mC2&D=j`$d=|Kc8zMDkfdmAS-#6DnH$No1db`b1*U4otSD>Ii5*Buw zUyP$Q7K-BP>8dQXq@6;pIlM~)w)WfSD6+$qFCRl#_S!yI&3UZ<p&lWSZet<0;?Dk& zxGr6E%_gJu;ke8&WDp9Gp}L<-WFZ~=McVIv`LhM(yygig&}zxJTy@#^T<!YN3jmi( z|BCm$urzeE;@Ef~1PLE5yIZI{#E|(QLKxl+#*`jt?~jn+f2`qg*9toh^l)7u>`bF5 zVQc?s-lS8$R?jw6`%wHDjSJAcmb6JceqNd~e1rN~6I>+!xebmW<<mXM^Z`*sr2aK8 z_JV{dP8--<PA$?oGgWUdu-?UaSpl$$W>6i>U@cqndBbp|80-$L3U6OSnlExcCjvRw z7RR*dQwi@n_bk}bsb1Dzf?(;<qreLX{8=`-fiAz{ySsk;wlBZblh%8kdld=ykq9>V zwH!x&Dn`TZwQEcet|suBdw@Xd0qHupA@vrl_EuAT!@g_$;@ciN@*t#GoCy?s?+G9X z=<%+kEDXo36h*sE%5)Qk2ixOu-vq+gzt}vK7EeIqz4m0>(_23_#HJ*oy$*)8Bca>{ z2&dYEqj{x-{)BGz4ad9q1+BdB*@%-=B$WQ`8?t!J#f3@zitd~zW&FG12-*#n{G>O_ zpt%>jo<E*>sVA*Ms^iDmuWdjH#EpbUJnyb`aQ>2Gl~Pf*$A%_R<NVEp{)w_S4CbFV zLe0Z23IQ3b&m)@blCUfnD);Db>rkIk_*St)%^NO8SDf1f$yJ-Ix$4vMs%<?7wLXj# zx+G(EZxd3F2A!o`l94(gO0?dDh-|+vLr;GZ;@oofVZ1p<AI5fv8vB6Dn=T9i#M6I& zBbb+WVQ1=ar5x4k91knL!X|zbXJ3SGT6<t>2<VKD6f_X4+g8{2jAz1If_WhC`{KTn zGomH6OT|t;=UMYw8_z-2xO^oc(~uF=O~krhRapaH;@EMJo1cg|(&l@>I`Qw?8%E^Y zNl467^eRk3Yx8RO0-Zmg@UJSe!w;vB?)uH^WT9X!e9>-cQc=F`5b@XX&(yUJq|~#G z?q60W>hE*uF*8c%=ooGsn`fAe_Cm{Qwz`v!f=o9)$CWRvpU=M&G#1CFn_%Tsh+@VJ zb4QpO<{)`2R3mrAb{hAcbuNWIB=(Eq*h{b6WvcrRg>d&6+l5&GEA*QI;*yT^mEs3t z_~DrTPdejDYlL=M8z<f^Ij)zo3+gd+L{;Wb{E7ry_%F?lN;8D(%!hZYTvmF|U|w*F zN>2)#gLSOgI_k+$s^Ft-x%wP-`uC6KXDgcmsYW5|*soy*Er&9<dYwJI&vb%isNi{s z;k{`#R6g*^SyKe?b_O+sT$a+KpshJ{72kyCrd7o1dQTnJ<ehth9`79yK~X%e=*B?X zpwVhJV^dG=rfqwZLJpweedfNPxL+$}X>*^Tba4vAd9ymT?2nMkY&E|Kkc%{AaRL3y zRHwJ!a_T2FvT86p_60X=PT_feV~;N8TdeG7VLy~xOnnF1&%Y2fUkRMMKF$@FFV=78 z?cZy-&Qm%9r?dTM9v7v0ZWZ0q^GO8rwaw&u`H~Wbnl7fls^N*&WIc{TMz&t{5}{$w zmmJ?wkhL5yTtPD;?i%Dp$o4UnPfs%hTFdV*Z+fg2Zqvg~8l>zl#~f?U*T*!^OQWo- z%?{=xM1{b!n}+~QX|h*mu%ptiP?M-~;FbOUlFD!m&vzAHr^ZGJoTg~SIPRMib+qn> zWnjb#w!<`&rAZXmC(2H>Iy=m^5pxc6FJX@-{bk4x;uBzZd6#yzP;az7^HUr}(xVDW zKjgC5^Olm(8724=E;;n;Wa46Te_8XAk>a<TBOGv}nC*!+HbaP8R9oD#a-ffc5s$?S zpT5B`6V6@<UbIY469kjzqQ@1RQMy^3Y0R;Z{C-Uw*KX~Z`Nr*~XH=O2r>A7GXdgBc zF}$pyFnm&cAV!aE)idCxsipIpQ{wL%JWm<WX4@}KxDG2*MOdW`43K5ol5<eIKMbFi zR|1PlsJ#REu;KLRK`q9aLVoxmU6iU-05Ku$?&H)z|Dl10UaubkR^z6A^T$-~l93^; z@oJTIu<Okw2KoKXVSZJ<S!o9XcV1vi)nZ1Lx3@s!=~eY&y#^8KeC^&8gl%K1{j%bG zju1u}nF~~UL5@(h8_CWfXq*SM>Dt@E54g;WIwG4934n$Uc3%1BwbtkB@z>N7rRH?# zv9{{kTR%IgG?+--p|j0%$r)Qe4W>K?Uz4%G_PO@wXB^3JK}q3#6h3Oa*Hzqky5Ut8 zHQv7%Hi!C3YNk>_u~tkBmPD3wbCi3?_LW(;>dNM&x&3;MZ)98~EP-Jsre%7~0<!8g zz=^Msn|rsrXb$QPwOJYpW6%RQR^nmd4xy88AzW<jJ;dq}Od^oWZ$A#ra-VZNla_n? z5*z~iE_(-nFXm}S#Z}1kCBnBk=)pa8&ar2vZ2t`O0COd=$n{fx{poiNjSBkU17bf< zZij;rCA#yW;gSH#5+OX%7@bB!AU2`iK)sWj7aTo6LLD1qI)K9zSLBA4T;2AzcLP+u zF`bG|9?4~^b9H|-WmZb~rB=7_KdE*TH+sJ(59FvX`3Y-Cw$qp(2q~41GVD8sCn;v4 zIj~X^n(3-B2safQC`-<@h!rI)^rU8GpXZy!Sn!ZF^$}e{ics0Ltf7$sFU|Cc^FI); zgHz~jjutFQ%bDZmo<#8|a&kCx5dGZWkZAXK&wj`KbWKvK>X09)VY`y%*%*S<;^2G? z*L&(ZNmSJcInaD->M>W2M_0&6^_$SO*I-$xxiMe3P#3EnHp1-gSC|^-H>zxecjz+F ztcy&?z25rLU>}#)sE$hpG^~m&RoU_x?>flN_<j0u0uoTRg;=iJPb+oWx!D?jHYMD@ zZs{`&TS&I##vM*MB4;nMp1+N?08hIreA_#qeZtvLlRx_LxT<LKf(}K^Ym~JN&Ey%S z)?oK$J?(ruO<oT$6HMv0D@t0vA8ik)3DxUtV*Q}_VlzvLb|19>lx65zh(YVzvb_E+ zot+urT9UULGS*Y_`ijTedzS!Xrdr7J!UO|dx302b5elo(m1x#PX}4d2B#f!KiTBkO zYp=nO(@d<AAhqz`%A(lyI~#0+?(eEklQx2-zY26JP&kZvna3J@H5&L8m{1`I7IPwN zQAEBPoHoskC3{kvePmiS<c2OcD`sqr`>u#lRpCEyMzLuUdJRK4j8oFz=k(V@O(Rf^ zy2Oc&vYIZ0+^uGrG@;IG)A|Ov2u3BhY63HW+PwYu(Lm}ZA(CA6P%@If%RvF9oOtP^ zkhS+=f?J;aaq6Y(ds*@f#x&u)Gpf7#INKVkImk?+5U*SoxQhqVABAaEO3?f|?{qNW zVgDlW!+Y}_^GR)g8sQb%aNLM+RYyt%YTkV$v#ra<skHvN!nvs)@W_0vY`f^kK+WlV zl{OYM;MP$K>)Z35k~(8|I9iBE^gQU|06U&6U?iS4A9oRO+?`@CPrR$O*PhR8)bUkS z1d@_`^_w#WmiPXF92g$19PrLw7Mjl*wgU8=G#2Vn*l|?fLqV*b<yQThcm+{EA={O| zO>KQTNo2^H*_A6Nh`Ci6r(Fg}=N(F4h5r5h@|fwPrfvx`#46KXzQ}T%_vrmb$IPs6 zU)7>(*h4&$85eY4`>MI}ZT&}}G^ofzPbuuj47_6>;3r!__T%Q~)L279LS<%8+Ev}X zcS|!D%?YL7mxijvdF0de_dM28s{Y&s(9WbEd$7xn#d&s1b8Q*U$^HR{DV1vd?{KUh znM@=LKdsp%wB}#+Uy>bb`S0v{DEoXj+4-;eg6)w`s<F$!H!a%}!SJR^80<`1R!+^7 zmMU1czzOp>pPV7;s)p0xXCq|-6h_wjo9R=U_Sh?w^2_e()3R!d=e!_uM~bT1Ds?T$ z6_oMOT93mF^6F}2sQUWmwr)3%Lu_f^E{lrdwzu1gOl@@zj>OS&J98&4_8;#Rna&pP zp7R%Lt%ASmlbIKBxq8URIH1z?tM?ValeOtsz&2PZ6+c&%=TrOEtZtuGslX9ubf<Nb zD=FPQ7X(5aIH|%OAGY=~@%PSjTo4tiyhd99DM(-g`8|<L+~?1teh7n4F`>B<IekRr zX<P%=8WP4Eq?#a0d=19hEM*}^twt_qi(3&^jl9M`#o!)d-QTCheLw2vUgiVTYuS=* z0C)3z)7C6`=fVp4rq8X1o|A1cxFz3XOX(qlHCNtJOmby}Uto=t*(10<M6{<qULuSJ zp@fF$ye@0Rq4A%448qFhdv<o0mo!UqcG!j~EUW}(!`T`sqxMx2&tNQ7#5Xk%7sd(} z>3qLZl$lQ43R58K*cXE$L|GUZZdc*&fXH7AUt?{Cl{+4W^|~fC856nA4<az<w$mUD z{VVP)bFnS(!;JI0a?Y(?ar;1l>UD*MT3I>8f~hxYIS2tOr$wacI?Fzq#|++Fxz+P0 zhiV{co!auZJwZqkp8uQqLyx%QK=%T5J*2DtQ@w4rRl8lGb_L}6(;!o{Vm>mh&X(ec zoqb<p&TG25Z5E-oXwhdAjDNvxV50o;P8wlN$u(N6PHVIQbmM3IJ!e5n^L_!Lx6ybC z>8Q^{D<RW!#`7dvg)g|nS<0~JL4aL^g^B1C0rP0&$<JcHl4x=)%{X2Qh&7;XX~#5i z=))})aXI9RDYldsVhGztR*!v>tz1l>gr19m7WRT>58?@*j~$gGn8~XsVy-(`sVT;Y zUw%Q{&pV@v|F0MP|Jh#*Xa)$|!j?Fmc|dWj_Pv;eO;mySLZ&^%ugFHD|G`4R?ugLa zj^mkwic2f7!+jC0KkhQ1JsM%q&6mOC3eCT)Lq;d2Yoo^MQ)=IEdy$~&`AqHS8q<W+ zZ`P3gybiv5!`QE&ooH3(q$?IJVKKV`K*K;R)Cw@{Odv7=egvb*PMetFYop_&0dhw1 zM?;PH7VZo3%z--`D}~MearK2Sa>210bX;wD{KGECT1qN3`Evn!GhGX_2-_NyA?oZT zk@B>q&2yfs<T3DFQ`Zg?qW<r(f40^hwAo4a=Xa-eNDv5?sO1E+l*a;>4r9zXiQx-9 z-coxS_07g6uXJ*^R8N@aUzoLi0IMOmWgJT(>PfKh_N?C2lbV~nPOPz|8~}XH&J1DL z9lWWC;eI*I!d0!n_ecDdn3PgHOA(e464JkVLfdzSXGH72TjWK<1GQh6Em+>2r&Z{n z_`bu*PvCw#)|^gpPeO!``UD@v6NolZT6o{2R7Xm*rwezWXuaXKsVI$f3wYygCafS< zXV!><R6Vm}0SP?XcQyTK7kVhP?-2jZyba+;$j0vonCHy7MJ?KLA32kJzd9#QsS)HN z*Ln2`BVK7^{%5T3V?nHhd=z?Zi8OUDT=oA~U{ABnQY1<yURh;Gz{ub3A&xZ{k+OrK zrx;s-sW&End-ol!_e^vjlVpDR*RiL0f&{9y^7fsCf6AsJG~otQ)5;8a6ujSC*~w0& zKqCD@Z;0HfU&V(>vX7kR+RrNVFBRq-=Co+@locQ^&+RIy{Xwg<6mpVv>dp*cwk*?V zu{`qqwpMli#tZjO7bUjEYl;>FF4ybL>ku_S4euCaYbDCNF0RiHg11Naj$tJWXSS|h zS7y%>MJ0Q*j*lsvY_>EC_xV;V-XZIGg&Sk9B&q!KZeG-nKIs1i{J#j^;-9>mJQu4R z4ym;2rP<T6ZqXhJw5&p^u9(eTWmtey)llPOeU-(#oLn^kx<l#cWApW?wMsj5O5Vhl zWc{ispK_r~OJ!PxbvILnaY0D?vx|JO4nEOxp{|gY!p}&Cs=|Lab%8wAosH@1vja7) zwXS{QJM!|UqD1}ujx;(4*dCx0d-bJE(dnP%NdC!9bAdGl8wrN7oW)y}#e)DOO+(}0 z#~{r$)l<+TlTtZap}}%wg5_(LOi*9@&uJ$m8EO2#n`FXrxr5Q}qYfz5#W5!8I7|QW z!!3SBH4x8?enUM4ro=JD@lb^i{^5`wBQwROm<*#%?EW-`({if%{39d|^j%7YnniEj z)Fxmg0(uS&Vl`#x;<>97*bGuv{fFxA7WJH2BToLnMgpfAwcM0x!Am*<3EhRK!(mL= z*Myfc?#mX{u|y($(NtGR;VMBLU|w`^CRU<mpOtyS&9t8<FeUXj4l~K2eZfaO2?h?o ze-ETeG(XzC@fJ49h-CLQye2RI!gY!wosQ_g<JuSo;tZGq5JE~v`R>4tGbX)nAa~eB z)loXu|A74%Xd?SiVIQA={MUX9LTNG2L{rI_fh1S+xWQquvZGg38pCcp0@mX{lS|z` z{W+8S1%7st589@r<^$xQw|H6Vwnu)pJE`GIchLw>XTOSzev>nj<}E!ER|{<jh<foS zedIU+C`#iO9aYqwjg~BAqt+F1FOO;#A`nAg)Yeg9<0=rRYK+0LXuUHyaYYL0g~g$; zvjR($m4|P4d+mm{^93S}mHF}w1EG_9WF}%F0@0<lEU%*M?e3E<N~!^GRnR_F^vpsR z1p1=|L-0e&s_z||G^~Ej_wqsN+cJY{+02@Nf}#~O+_x2zII@ahZy?DHBH_~#f0X4< zi@3P!W?cQGb5L$MNa7}z`rN8Q((F4<ImnC$z6A2WvC0Rr{BOpS3g-u=+|~M0SD2Jn zO(2Mzu%yDB=_cse;I41f>u%DW$U^oDIHiC4TMyAbh*Gl!BtC{%Nu@h<Z-?68U6uX* zhqFBH+&cOX3{2m>O9-T=w`-4S4A(xnfaayfG?<lbV>*fnC7upQy=(dZCbZh?E4qDs zEv`Mg9ilA1zkXy&6VjsGzZ<f6b=k>GVOY;|nWQ!qE~=s)a`<9^e)FF1YPMZ?q1Adw zrbX#JVi6?{jFzY`k9QRg%`Hg}Iehn4y_<>_QDc8nGDAO(S2A5bePoi=b%;$YrxAlk zGxqZe^T$WQ`_a$zANUu6Y{?)onUMIuIr{%hjsNp_p9q4H!_|W32jJZKm>*uzTil2f zi7~_HawB#tTyC>y8~QF95Li5U@>?>2F#|o8mc=n#(^Hb7iWH%=AV3iFYu@y~*F(kp zZM}ClG#?w~p9MBXugA!0s_wHP{YC~jon5`Zo5JMPeCAv8s23gi^DxG}yM){GKJT7m zBQUGd*l2Rc1-;zG2LsUmgLD^bY93N3)U(Q&l#=Njd|i~?OpDx&;Nm$rhW&FC9P#Tz zo<Cu9g;7SW_YFMf|ABw{Kl=Q*DX;H<*{JiIYVl;zEQm+ss(x?8r6iU)A5rl?v1L=7 z*PJtFC(#$+e?W*w2{lP3<CMcx{|ifz(U&<Bg(5$X9(AER92L7hzFOn|<!OH%piNhS zN{3&H!-Xr<=XbfL<TzTa-lpT6HXrdMf;IB@?*xf=`BM{OkQzeYHRZ6D3qRkb3G%Sh zoJ!?p)gyS5K3dEvT%D&J0IK&|>aCyml^FgDabE#dSC*_D$R$A&0>Rzg-5~^bcbCA$ z-8neHgG<oh?yd>J-GdX{3GVQdq`Rm4P0zgUnYY%z)>(J$dr$4N_pVd*)mL@oqQ>=@ zxf4A9^JV>E^#7Iaq`N`ucIY;llr47x2sP}3jrvDTvI|NK-k1+LygOM}jXR8PA!F!q z&>lKqyLxb|_yZy;jn5wbS=?y%i^7L9_-$s$>Sx4zCMr!ev^6V?g!h}RpCL$geVEOR zTRZBMJHvTMz&cful`)c9da<yDRGGb>n(tLPS}G07_35>l6BYo5XI44hENhWyy^WM; z;6+uA)biiV?;j1|-;&gS$o)<%g<lutT&Ku}M=nfX$l8sL4pyI^1v2bYNu1S7zHb)n z@)9UhXP6%qjm*r+Iz-bkAQNZbaaJqZ#K!HhsO~8mVfFj>DEsnn?6#_gbpo9cD$%;! zzgofnfRn%emEQ&Ow0P4-M}?QHvntq2M@4vrPc8mJ9krz40}Xke2f6mUytYimcSmT% zLoQ&XUa_g=O`KApV1SY3#b&-3C0z{eDB-<7UT;XA>#-y;jpGBcpx5eH=GMSK+GkhZ zX)&md*_|L%^zC2gGDG~(y^Z)5mB!Ljr7^e=nEyJXEdnE5yx(nv$$b&&xMetTT+9i- z1y&MYOINrX?Kys>bWE2bAh+2)gaXCzJM$T&10ap|wKNbi=u8~K`y>80I`O-%zqiOy zka}wkz1FMI#gfq{B|;R%+B;E2?DHKU{e|+Bs7;PAuO9?JkPgNk$J=?q#yl6Zj%AH) zfw3YRc#R<p84I&Ig{mB9CS~3zzM}<}y8VSU{&n^LnIyAt8!V>8RFdL?uGx{ATfJW) z0^B4vs<Z4$RjVNp7>P1b9w7#JR)WfTwg*N^!D=;*f7FT6x7UomM~LqKyfqW@nB4*@ z(hd=2in3-%07ca>6EwMt)b=5Gt1L*a&Y|j6NXV%2JFVutI_2_aSCYysQkY-Ic;_*r zQ}H>;Pdvw*x$J%EVHl%cgC#y<Rn-eFX$ePf?C)?g3i{9(2NYLsy{))Q-`{^3Gf?R8 zqEJqF2i%ve9L66a9h#TMzRrcjvex5S0p>Io_)~BAFMkC7%l`ju_y55E|Jxyd2PMS( zz~2s?|EI%4{$J|9n5?YwR4uNzyjBsv-OtM|oZkFunS(N+BaY<V<@wu+LpnWJ?jUPU zu_bqsvQsUSdVc^0P*@W48xw=vNb+(EryRZ}+sM;JmH45QVI>fE=}HoEUi!**Kdciw z#98z+75qY(f2lFEWUr|xm>0QI&Qx55u4wM9D5s|ykub-<=5f^m_zzd__UP35lKei! zIJ})yR7?bJSQk_oSsqKgFgag6OD!92F_S>i=A;&v=d2)SW>IS;Z+ZMUDWxb$I_*qE zwDYd+@wB~5>@1rV$-_P@_L|kp2F|xF=?#)yqFG%&4~{MP-LWgQyqjA`N|F<;GC@zI znUgA98yIU{Cy*VRUJon6O{Rb;Igq3I;}N0dfj#n*(pE+ktp$yQvL?+HhOn4n(WFkZ z?id`2^aW%RlKXSBys!BM#TiM}b(FDKoZr@yLJE`R2Bxc2tt}=C@`WwktQ2~vNVVN$ z3{VRDM1Rd%f99_L>n8w#q>2J*<`8}vE^ik!(`;#_^tSZtEB%)8lmc+h_)O;a{A#0n zHgj7;#U;F;M_<eflthFWAyuBzI{+sSu@UK1JeY#B#w)NfqSl?mDz3XUg(aoNl2Sq` z@MCb3cWpJ-SdywdYO-2>NdIKKf7$-c0{L+YQFjno_6k*6l*ygODMjn!UXa(aGQi@M zD9V2P^bt}fTM?maLiN=gp@E9{%9KVlOND6O!Imr40>{yUdNDYW#m>fojvcv?vol=d z<t&cEVF3ydre1Xz=V!=GN$kV9INb@6FQsPHP;i`I3H5WXg#!4ZDDs=N_LNE5NbGbl zpnPStE4tyJ&Hcs|8Rl^5zo2h#J7N4(5$st9Wy4H&EFPB9!jDDU)b$}9243ZW)*FRd zY&On(=DnIhY=c8qLyR|Cna#!<Im-FKJ>N^XDpOY-8v%F%2qg9m&<RJWfC0)E=ZbQB zNuht~2mhqxe<6tvk`Dn&3iuybgOJw<4gS(pY}bX%B#Ead3|rk<F?AN^@obAG>r>$@ zm~l2xwgTF7y{(%ECYBo#9S0}&MoyX*zIF#&h-zaJrJRLU+#YD4Qg7r8GdZpG>|3qf zQmAIjl|(gSEK~G2GOF0hT5`q@+OwZ8gb0cgj{t~M-rZq)V**`C$?hT8@9*k_Fc`3Y zCZ5MhZIcGsNq8}^hUhT%xZW4qY&AO;0x=~UX4yy&uL5|8*nf42f52ME4HWMa#;!Y_ z4W(nQ59Qij7W&<QLllmUzJnSGS7};u;0|%)Zt{-bdRDxYrHcUBc#;FLx1EG;-<aOz z$NJ(Y5@Zi1?cD%vy;}f>=KtUczb7Go-qv-1$s*fk)o`u8Dd-ScE4^2)MA`aqvet6D zxJ}x*_8D+Y23GOVBOdGK8X?Ik5yBB;ohnlErkPS3?|zAn&~7g|S#4lcTDv2Ip%3#! zV~-S)8mvqHqRc6YdKsv#ra+6>Yb0yt)364nCO~C!+|6$+V~I_-wh<hX3hH$bd5nxu zuv}zAogXE^+aPplv>!tacN#<INBgVU0^ZUj!}lKf^^5rvZ<_zolKyPj4G#s<K6E=8 z+>JXj4pg&_@;$-50x^+?CnC{6>$4=FC%7gmf`hgG&U?$<?y~~v3r69z=X|Dtm_P#A zf6aX#!6dsup3)K*DX$nGOXSL2XCAplC>BDm*ntXYo`$X%aK+QxX0`WS)Xv38Cw$8t zBmMxkVNGm`BP6#2T9ES`ICMGc?VTSUN}s>khE4Cw%6~_QQ9Z7CWcYB;fo07{xhsKI z!I@c6mK`lo)#A`!$8ZTN&4KQW{z3i5U+H@2(8xzh$_7#kiKT}?m!;W9GETqzRn7kr zhk-wn@P>pmiX%bo9$!(5&lgFm_-XA3NUHJ@2swro#{v9_MnMR*!0}Z;G$X-(r9a?j z1*6K#3#AG_I-v5~6D;W&512w4$s#shnzXZc0_Ts5aY5uSxMc~83VmjpUG$QHnQ#K( zubFA#k9E5$g3_BR^$oR)U{r`mn4@xnC`-gg5lS^;^~GO~(hsofna6iGeoQw$KPpr< z|K4*<=&MG{i>GbjM#us#Z#sbPCe?wG<(ptZabwgF46d`77(?9+<h&aC@`Z~k_Zgi4 zRi3E}Mm73`zu;_tW|{xfEp<^~syqc$*LvT~)CA6gf!ZuvE({6C0Yr4?^u+PlQO6<i z_6bWdoiMXq%8@tN|A}(#UwVVDBgV1P;$*I|{3`c?+&`fjB@UI%YF10v(vZbJles3+ ze*awQkqW64;QtazPKuPSI|LVrTrB<JN&L0d(XXa}qDhCRJgHRARUEWAqe~Dr#<&Bh zU?8mI$4Oy>rgsUml8Zx_^y~Sb>-#G@_?-;!U-thu-6xs7!S699f2iTTpB!!DouHKQ zoK(;3PaBeEn8VD11bSUpLVIDyG31hUY(!6~vBVU3rclM4^W8`96zz9$)Wfx^lp5LS zLXTx;8LmvC>uEf(A2lv_+Sv%hT;?QqT%rUj(xpc-PuTH@AjuiHh7<Q5oIFwH&K(|f zT^Tzv1t7J=a;5!qZq_PxuDcXDUH=Ef{nho0BdP9PmL_ILO_&HQforp%l#Eev1a+%( z18YjtiX0_4g*%GK{{v(Bt^V`-46?1BP}Wi~vW|D_0({4=#nC8!Wp7_^KKgY*oYU{2 zstMM5rYcQ~lX@lsWySmOK(%<Rm;!;2fMRqH+|h?XkT}vmAPq&mJS|2{p`wKZ^1Y-s zp~w>lY;Rkv;LiwRT`I?2TfM-v(r9jGpOM1LJ2xQ$_+O~<zl5tlL#zKv_)qcgPmk!W z54nZ#qVO`rjvPKeiWf&Kq0VOUoBoPvBdyUd214;p!&i}C%_><=&6|@b_?YTheyS0X zX~Bkg&;w?=+I_C#gDiKcS0*%K%wuth)U@&J00@MFf*~=*6k^1%he!LDB_+Nn^-g~a zLo(%LA#WzoX921mmBrG+OX9SO51Trt#Di`BHuorN0L`$_)oD_7GOp>PdzL_(U&}U% z@24g<?Ii&kD&g*nSGElBd@4VpWRDVQM@^yX`p+EbkNo9tkNl(i8nmK@b3%COvW!SP zRSkL5S3f=JK_Vdz#&m3Nbs+w6T(m@B?yX!sntO>R6rwh%l>f_6Lhov^^tp<U-=ku+ zaXX!giE<({iu_Aq8WdNqg(>O?Oo$UG7Fb6g)oP)!tqaOKd;0-sOlkDEHZ%8uEDF)T zOwj&K{;egJ-m?}+^QI!`nyDt4f{FNtS+MiLFxTIdtqr@@*P8bwG7A28Ci#&6;Cs75 zpG*?X*{YR}<I3^S(B;&_n+e5eC#Vjjl_;t6;^1AI#fGfyRI%B$wsHos5Zv8Yp7UBe zVo#)4IV`5i4Vh$ZT@sm6YskC3>-fwN&QEM3*+#>_?&IJinbp=ur23@YHCjubY;{`f ztripR`;P?SpOQfEL!mH1%|5`p-6J-eM|#kQ6|g`BvG>pu>$@h8o;SzX(fnU@br<sY zOWdv}_gdyXtnTw$j;Tx=3ZzpW?l94)ZMZ($+g4U9yd17-4>WnKBtcMiz$VV{zJq+| zLlugH<Bh9D^BLHzz9eA6pf>6G?M!Vk?bCcMZR^?XPoJxrW||60<NDkIWuE*rriGkY z4rS^43|@T@H_x?!hrCQ{O@+!>Bi5K(DMRYBt<BY^j9BDpJ@_>6@?>`L8LAu*QeDR% zx)`I$Tb>pOu`KSXyPGyuHImxmKZ5*Q8}KL6W&SWRO~h5!6?XT9*3tCr#NPRCfz0nT z=f!AW!9aU?S%R#&M=V|^Z9ESr8^B_6hW~d%3L;vQE%3dzP=ta&eDq`6Bw0EQz7jv$ zK4+Pv0FqZynB^ZPaR|!c!=bZ^8jl{#X?CM7)|?S@9*U)w4pq7?NC{<Q*^_csk<xFJ zqWl(M?>KxVCaF}P^n5<0wJ2f{#^Gu_FFVfzx#)^xHJA%rFF)sL6*;c3L$)PRUqrSM z*xzT%66YE`=Uz|v));yFwbDD<)HWd<@&)5spd&!C#xghZv3Ies7h7?Au@&=x!37s2 z$a(C_%Vo6cg>S0^V#1aFbn&u;^t7KI9tf6rxCJy;vsJC2PLgv#Igq0L1gwxsD0?h^ zsNAD{!M~oG!!&3P#_zZ|v#G;`&dG_@l)BZ2+W;R+8huzF!|p3gGnRRO6tbY0MAg6m zj18o{{)Uz+K|R{sHn5dma8LuZ_nPLJpVs5`&iTybRt1}0Q6jUH&Zz9=yC~@lY)q)^ zsKn`9xSC4E4&awXr1gtq@uNTS<^_#&`CR37q9SOd?OlwiHcBC#87)1Vj;`40nohfY zewz|rIM(_^TmYX5LS{tqSlq^A`f^ta*w_<HYEds)PedBGWhu?;GMs2mPKwBv4<zqO zppUD4Zh8f-Pg3#DTLWuPMD*AR<*dgpt5?)_`f>Nq1~Pk8YYs4xeBUW2f(qh)^nH6m zOy6#d&IG@Xfy*VpI&+zsY9%*-73$fRRcQQ52h@wjh$rf9vrKAr>v)|30L=kTX$nT* z6i41&h0y0(9`dKidt~%cS3Z#<7!#>U@$g1G>qlb7CA3!?M64+>b;%6Tbe3gGoy$ib zKJ;v{8IB^a#YDT{u8PGV9gVBp?l5?Kbl@SZ4xb^D%a{$^cTe`LdhsyJS_h6532L~p zcY2NbnaIgf?o}f==mHx6Pp9cd$PcG!K!%S0kkpl(+1%x<=2J%qsr@B96ekgS?Jd8q z#0%@n(@9Sw)@GXQHUH*Vo9UGJJ-_mg*UaP&*}+NiN1dMs>JGabsu}nT?GzV2(ye60 zHD~#N>h()+VIq&{7X+qUkOcF_6xhmr_MvV$xx5bCy*MK&#efC&bCDRNC@}}*aROW) zFA;}xNd&$0-2&xlgf`Ef2W<(|x6;)=9=#b~v`aL1PChFuTDLDfEn2^5P~x6HmM^hV zak$)6sXIW*WVr3SdL|}4)XSB+YHz4|I>{7Joi5>_MLO|~Tlm6x`I$^ECEUD%`!1Te z8CzIS*;+OSFOdGV>duh0aZk~BB8N)ZIV)T!w*EH7()?DyFL73qp4a>qROuf?b!>HG zsK?trtP7eeOA5vCyvBhy<f!&BxP>~8HNa6mM%?5*r~tTS<~18EgB{gf#7}!esE%tp zH;}RgaN@kxbp~>)OXK2|(sr+ovn+rvxQU#ngS8d`0P1)XSd~Vmukf^Ru<$N_FE_UE zDza(L3F>h0s3k4ylHJ@TOGeb^5wxNt|GwzMi|TWm=lP;%>}68MF^RI4a!yE!xwAPH zc0z8<#k1=;DU@WnA3@wNq<`CZvM~w)&zu>YTbKV}v*YIKw*<Yn5~h1sb{%12v!rl7 zNzXg>0MUoBYpIN-^W3yp(xzqe5|n|mqcfVYk5ex@*R^A&%6YE}WWd_R1#!7+6IU2V znj>-#z5`}0zSCW^Y}Ar#9cCbK`((`g&4iS+_(8@%k{|R79+Dt^`;06SY6Sk82kbAE zySPHbg<NVW#YIyp!Jc#_kc}?W!2{ay%@}IZx#bm}NgisnCz+=_;7+Tdb0@CF>og(@ zVfWz47pxz6#qsh;Ic9dEspEN}Q%q$0D%X1g$aY0N645HY@DJUnW<e^v9PMPCpTHk0 zrs>1TJmQZ{B%OVCS>yN_H@5V8O;K%9rjoAD-?NJM4Yvth*-$R<VV;nr!5!EJGi_&{ z5ES6;RX!a2wFaVTHMg0zh0MCr^}yhLmNPAqk1~k2YA*zDyf=d&8H3HYPlyrslVV9h z*R5%4p?L67P1Ex&$#NB)@~^39Sn)PWso1*@4^Nu+&5{OIdtLpEFG7gqH|ZLF3LNJB zw~g4nNrl1Xc>woZ1_iKpc{WVS%(!&f;>VYPdJqqU<v3RsbimyQQs%Sje1nASvh4x7 z?o}*AV9obFOhATpB-k1lB6>f9_Id<u54~x_;c!8;`WY&PcOudj&LSq2O1tRetL8rN z?uT=u32ezBoFYtc*fZ}XqNUO78GI%4A@S?wvAy+u75k0I#}L5xpp+Ne_Khr?rJhga z$VJ6}UfnQ6Al=QJk`pt2tZ`DKuUw;92@?s-e6e7{;EJJ=ozZ<z=+>f~zmv^M+G+UG zM`6SYa;<V3<3;TaYoDoJiH8-K<E*d}6IYTPHiFF3!2)e=bPZ~@a6ohD@N@BKEMWxM zu}I+wwuKS?5+L2g=ZmUHNr>-R&#E<9kXJwY-eqTT6x_SRdydfQxee|dG%`7Gd4knS z;Z80aFr_%No0EMwJAFvo*EQW)Y03h#p*1SNc$Wh5?5GbD*xpgy2ph^osYG*MdCqa& zQA)pVWNkBQT+9}YD>J@#wY^orcE7N*Anioed>Hm78CY6`BR}6{8XQi+=wU?)>v}ni zoiXexC@DuI6wS9fvQy@S$I7#Kt7B$J=ANtbt$pCMdG`3JRy^<I`c?2wpKo!R`Hb<t zpww|)M5}fhqg+;8p-Tobf;Ka<7+DVyrB;voRrq02$qd4vY}fp-hj<*4W=BDiO7PPG zb-eI=3Sc(MCN!8%zHh=^zPVzxiMl;_-gfpd$B}A}g>}b~`{6}4pLi(>Wz<?fM0sW; z-rGuMR?uK{<y{ayU^>|ZmBjvVzG+$rw)+=Hs_n(_+~+$cxp%`J`w5uLMk9H|PfK3* zxKB;JdmBz2@%D-MdR3+M7=Q7mYLKvC8v<!C0WUD-yB{5}qcsZsrpEPa=|jayvYe3? zH)Wgir08ugX8%BYpQ^!4HU<inY~QwB6jhvFV)z%Q(^-H-iT2e#!kgE)u*F<GgYRkr zj<eckNO@id96};Y8g7e#!L@$IG@M?cLVM4e-$Y<y@$MNhGen-z&xSf8P1suZY}@H; zEaL+*d^f=!D%Sqh8kLW(=buc>y+i2sm^yLm{`RgW%M2<pa{Z|DB#Y#|u;8MB`{c8M zl)-}h#?&rugHCIB?b=L^Gx)=j!P1Vt_hi|L2Nei?e23uFbGZl&Heov{X$@SoG&-Ui zN*TBEoPbH_`KMyXhQzOSq9Qi~HbL|2{L$^e@%7k}+N;*C#l3Sq5Gxresi|amQb0MO z3?<e~Vg1Q@8gtegtYnw8+Qqs#M5pU|j*-$bF{60u3goT}oHSi?rMmg0W|bYQq|eQz zr6QMHU2$<6q9U4SU~7|GJ>QfA+uJCWZ>6_~g1{kKTsdWD*2m+|8Q1J0MDDl1{B1RW z#&^;MjOaTtyGyE1g?GM{rDJUec6X;Ew-m;>d>BToKn$el$hXiY8zZOv^*$|es;jC( z=X$XL=}qTd@$0rtU*Atn#-nN*%uDQuVe*m^eX|o}f4wopclenUsxy2T&j%I6DwFal z!Gr<Hf^0CA2e>QHu*^6Om3nU1m9zjn-nu_w&NQ{XhTg4Jr;G8xocPjelp!}oTzgw9 zhXeQUU!RO433aC_oprDx0_mKP+D;0{1Mg?%armEsdhwSWusXQ6*1a`HK-`PELqS#% z>6?xr)wKr-9Wz*SGvCmjrL23P1a+`DNfe>KLL~R{;v5=x&w`<gqNP>)f_vlxFkvK9 z=03;39Lz;({U#XyK6tJ_r5s?ZELacTZjwDtYQ(b{`Wh+O9xWkh9}qX3ecCRefS$)~ zw76!>3dZeE-!>W^QT7)mcX-Ea=PAGh1lW+>c`=4|sBiB(OVbQ=GH-Ww<3m1RT(Fml zk|0zy)48)izzOSEUgnLv_mCnm=BB!oA`Ge%ZZWjYW`R5x%(j1N5Cxf+vmA5qg~cUy zxX6J$L)ZRua$n$U)-0Jxc|mNPIVsF2<Ko8)XI;Kds4N0MSRcRc4x*}Ym_`UI<4~K} zRm_N08^X0#2gkc{wC-OesF2E}IAf-)i{*IzwK@eWotjmEq=jMSDtha;DWrV;`2{Io z^?Vs|8$4z?>X)BcWK%q8io$jfY-EfZaAu68awN4fw9ND@8k}f$?=2}<Ad*Fa9q7}u zrp5eRbfVNL#u{U*(nF?1B{e8fiEZVaekN(PZrYC=q0;Mlzs`>kgj)4HcJb6B2QG-4 z?<<<tFiFG^U_WunIn*V-<0rpQetFSJD8FU&TG5oTv=xejjpX2KN#niHNp1;mUB)pZ zZWUd|{bX<Y6UscY2v>iWwS#Q9${b9}Z6bzq_z7EuV@m~`gTuztU0gXqsI@`w59xIn z@LCri;l&5!Oux{P@aWz)pDu{Ft|FiqCX%f^Q;Ta)17;&EP1-JIJ;lij6TJ8+kz18* z3XNFbu<ofWfY6DKQ`3`LmPmAQgt^as+sB2q5FdFPzgRkL+(B9Kap1wA>{e#LMKH=v z&2;~GQ#0_qgFmyk6E=71>9Ed<;5Y1wPA6Z^rK=SB3U`~arpDqo;C)@=b8ek6^dZd* zb|LL#3P8_v<KU%vnd-T%YNM(qCEd2IOSq$itcMW{s~Lk!Gka=L(Kv@pxA&`0HjJ<D z-{X~>Z}f=nHsaz0L73i&!1Dae>4yE<7#zRYQrF}KaS7HjI9(#fRu_o3rhPfNwnA83 zxio+Ds#DP5vK&iIuO`6JnEdhH`Ry|!sMrvI*o%Q8%9x>sp0vZ7dK9)ZOEhU>I%<l1 zLe&+2jZsI!{6<l|^3DUSev!0pdT-kY*E^v)8D*PTO1E&mR#o#nn_^RCpQLUzz?S9g zrAtCF)y(<%7R{7(Z`!`%W0tv4-h->Y(BQSaSf)Adk7{%=h2@Iz54|Z^)6^B(HOheL zA}8=9w~X-8oF1HKU4>I3t)mef4<0DBSX)UQu2jq_KRLzIwK3b&Ia`hP^S2u<{{-(B zh<Wi|Dwf9&e@m7c6I%8SV&?qySJJ1<sstRo=^-demD(zDf|x8!CmbOvmTzd2D5g9e zch(%LxcjEv;zjKI7IKyLU+r+q29BoyT#Q)|ich^Ep}&E!#Ew9xqn~GU$E0?a%^Grt zO)w#3Y*YuRI;xFCwzSr&1zxw~)4u{a3tlnae+pn%_j$jKo_~py)NeRC+z~0c@GO_O zv7mFbAg0e<>(IY7X`zC)CC6<>M{rL>y`{DKNZiRIhkLf{*L*!wl)nYYl?yY{$<|!o z2~NS;qWLi{1?CYNDofl%mgc%yFkKUoV0+R<(np272g3Es=2ZgP4LaZ6o_XZyB96mS z`m(ahR;l&F&CLV~i=q*e<(Dt|<zeEL^~JrxjQa-sdHn$U2YgWT$2}AZBqhOhp}7yO zSxb&+<kS1Wr{d`2-S#Vc)&q|w?jDDF>$0V5%k1eaW8}4p5245?w^P)dl~gbMFE|fJ zMOkvd-A$pH4I@?Z3za!E1z6FJ28NmVGisM^S<(3vf-yx2il1U3A11VO)ug%;s3;Qo z^r7bPkQ|Se0B-XR$GG<mW@H<kcf|GZB>1vi-c^8$e59|zP;p*{oJr@-{%f^sT1Oct zuI``w@*gYyZRx)@pds%fsO(*|!=kqmxa*5_g6zav<zpP6v0<aZb0g%G)oy^?!*fz8 zV*+?<&EAZ(4I6{xw}YF{#6%`lRtN@+i)r8Ud}~*GcnefSN@0Mv!c0W(#Kq!7ZyB3> z?-h7%LevFgdUx)gwiKa)`aMN;B=ZWlltAUtBp-NP_VFA;b6ZbE_@Nt6qX=!8KdFcP zOYZ%UsUm;FoV<SFIwjoR-9G;c=G&{{Q}o$psKxp5#}Gc9NJ|+TjI_l>8{(Dl?f9}B z07PjPY8RhYlwQKm0?n|`BbA4^BYxPX)+9s4#mVsmoIL-EbzpvM`Xs%>71!XigpZGl zJd)}!@{hbhkVh#*;N*1PGWNb}nJ28gV3f7Wtns=f&;9-Ln?;{lAC6xtKR>bJk#`bI zo!&JgZFh?I0MHYxXYNtbDkwic6>Q82r~g4-r}$0g_tWe~>E}~*l;t`QQyZjhZO6n# zA2VeulolGuIGN8~R-gWXKm~LETB^b&$3R8Op&EI>elkZm8+?ji`n~sxnP~+kV-Lr# z!RHZ3IKb;>K5O-vlxKd?lcoyzc3NmfX+U@Tt|IBAml3`Zf0#ga<j~MPCN+ODBk<*~ zw*Ko0|2MYq+b;U`07OIT#Jo!oOa20K-xZh|<-xU{hHl7^sn|z{1XHT*GK_0aOesJL zM8kBmxG=^69&*PS+yc~Q4*L0do$`XcMxJfXcPKq5vr@@olNc3-Ik}#1QVeBYfc~UV z_t$EDBrniUcvaX=L^`4B<0mwCHuN#!sTxP$hDIy-Mgh*v&Zgtac_YH)X5H)sjZmXw zrB;??vjz#{{sISfv21I->^+{+F3NTai@^-o%8pqtFvtp@kQ}-mj^-81W`@a5zOzwe zi?ZAiG5nsT|E7w+*Z}@qt}PGw%RNM~+a}6#N}+0`3r3$l3tlhs=L%)AxL`0f%L6na zFFyuiWZwzwil;cff<=5TsJrnrFkj16OIs9f8<RURzTEuvK;RMXVPYA7C)h(xpc7o* zPu4Le2DNqM7`^w}HHDFRmk|h^G_kuH!Nj7=Gc97FfhO+%^9lW}mGR$xhsVhS5cebR z44AqMD2(S8^z`@x7Wbo%p0xLtFcYqzD`*L6CDzyiO;uWwFb|k$BuSZxELn5E@pM;e zyYGcyquS{c`^-47ZE<=ioGl@9ms7LZBi|2<G^%|kcb%J`YA$#`OJrK+V=~gM<k61) zr`7@dp?0eUl3a+L;9b#-TK{lwoV6M3Uj<8!7uB{vmy5w3ljC*d1G77DM9W{hBwWZC zKVhXLvu@P?DSbWqv%E}ahPE3*dQ6`xh0aC7%a?ey@6E{=s~CdK_FNkW+=Os?yu+k9 z8P-VR;5B6Tp$)Y(a<_Y$LIESX33u{?7ZTo~6Iasg21vb5H*rO>?sJwEDVwI5=W`h< zqY5yPh<QnEt5W4O%VU@!P_KT#i8nE1^mF1^za!frWd+Q|qz*T$@I5wRLR1NsSN+jo z_DqytQ0IHs+%b)lQKfW<AFD0vfZ4s3<b0G`0*L@1qMJe{kX<3rb-r$8@D}++kFp?$ zHzExvPg^;21Sw?=4!W4okK?%vhkarHXyIPCpzSN|wSf6}8)?jAG-4I>e&PvOs)kXo zP&H=ICzD8w0eeM*EC}=H38>~3Y<Uit@#+e(5|kJl>Dpqt2XWws{22fkq`$Oie{0NX z*&&hNM7xSJB`%&q)nl1&yk^o}H{PlHE5c)n>0Tj+**DH^eP*h(WLf3cm3^4MZ<HX< zA3^rlYWpvk`}3=J0W|i-RDn8WWeUWBoCDKU7V!NfWMe!ym)mD~{1w><|4W{!DE_#f zgx8+d?d&wY1-V~LRm{j<LiL_e1d~5!Cw&1Y3VwyZ(~MG(+TW+@DDvIM%3pS?=3DW- zhEyXp5E3y)HmKJApzkEmsLnN_MQiI3>>5h|@sA0G!C+rxJ(M*Z2KovBXdXoL&`0Y% zx^uE{zXq|N3B_Od6b@^<Tb!yF(XcBX8hpOPO<FQI__0=w5T6gssC;ESp9gJgh%A|- zx;buZB;TpU@>owrP9;YI0|OB~+)ERY+@J%Pp+6@6m^JE?v^U;+?HN;CQD<`&+FRtq zO;;7BUyQPi8TxxFuql}yXQx*xOW2`KHO_+m_#cb<3)=rp*qi26+rSr@@7@DY59D3S zmIUY0W<pZLFa+H!k$%po(~?<_)@RF4Z*9^lPT8C|58;$(bw$A>pLQuXmfoo%=G{>( zbY-M72vQvgv{mBfl7f;aH<$=`a6X#&%PiI6_$;zj;oP$Conv}pbUqtBWB8-p{sw2j zZ>@iBw55Zdxmyn>O-wMk$ZK%U!^f=}cwV01t(IoWAV}b;z@8YM(HQpknOduQq>iRm zm3D$zT9aAjWoWE6nUkE=vxqE-wKOVLUX!70gpxaS(DZriM}-#Vw(fpPStX+DlA$YA z116p)#@lGSMP6$jD;FyO%kz<waCe_cl8y;2grmid@}%GKu86!zW*UETKx0{Bc!fEC za}a9ya72Uq(K<}2$UV=VN2y224!xu2d!wYK9yv}4p7K`r6)tbyDEOlOST(=t!v!k} z1R8kXe_rFiTmMgKeroSk7O(m3Ywe+gg2MGiGvbZW?H(fqF;{uoQ$q=`cCQG_f|H6r z&oN_7_ICbdsIj<8-*$Wf*)gN>yxy6tQw!CG!&(&6147Cx3`o?6j`e1zhDM)6uU2R) z=mTul_U8u8&jN)~ASvFJQ_CI8Ys+cc9Zyd+TSL8la4?G0n;1fLVk(P1)fw4id0yFF z(y3*l3QBTKSJv{z+CrYf(JcPXitpDTf|o^)wS}aVNBej0^Rg1}!oJ;g2$&jzD)QJ= z-{@)JZMdXW5C$L33>E75MU?%-Lf{97fO_%eu*U};!Jrc>hjIHq2;(mW+Fl%tQBriD z29YENsv+iuww)bfOSy2^@5nmrm{eV`YQ(>^LPiZFves*55hyS%fo1NC=$0=5>V1=J z{JH+q@b!o2rIExRxr0$zq9Zvf5oNUn@oJ+QU)Q}T0!urs!X$D$x|~|!Sf18EZ7>_3 zB<_k)d0dNR5g6b7v~`o(cv+Y({0x$5<BI&4*Jy+=pUgllXFa<q%lUO2Q-xM*3ZCp& zkMpBzFHC${5^oPc)5uI*L$fE>D7z(e>_qO_ALj9Q7W2pW%lU#{@Qo(PWKS2m&UzR+ z!E1b3RESHL=#X1OO=UNz>1x=D$4r0c@PC+BreK?es0yn1w@L~Rx{MwA#Atp&z!J^a zs6RUAglFU3E1bU#z(23}{mCak8l>gs+fcK!zC*C%qXA!jY>4_VGMzS@D82MDlzw43 zzw`nA0?Pl%;eYFu?hV4ue3h$#QlliGpe<$*3N#S6BQWoPm+dePQY3bgXgy?(D>rRZ zN78S{Fi<j-F}yvd6ekrM38dm|Yi=Wfpo-fgLqUqemX4QDFUgRWf=Jp?cJKPFPvx-w zo;JWFZI#t6I}_6<!NZIp^rMDYVr*0t=ezr^F8(PeNHg;8;=?}9FQH$uFw7$cgi07Q zovV<r0qGeoB^feu@#_Ff5>~mr+z$Jy4Sy$z0hmYG_AzGCIr9m{c9eq*b0G7-K=6OY zwEy)NuYHi?U706t!&N;1il|}tzGZ2;Cq{4)lHeGd<LCIl7>FMQ<m^Or9O1OF(~WZK zTF2>5mnCIn({-bRrtiQ`v|v5)pkG($I)EoC12o$iP!QfL2kFSn<m@_R_p~%5i2%Zd zyDXSsSyL+%NA-8y;qQdm-{S4>8{Wm@OI`s7ZzQqc*rXuV0DM_`Z&QTDp@d1H`Ya}? z=WGA5d;N1q1ft<#w5%Fj6Z8$zhWE$KVQ@00`eaO3=7!@`8Z;YjSag~I%`Cx}?=_15 zPaE+6Yv5nT1^%zsP44HV_BOURtO_@;NsPHJ76yG__hwF*={8{MpHC3}qd(&BpGo`y zLjTVN{_Osnzn0ej-%RCa{Qv#2G`zf~`*|OXHfQl$Lk1|+_==}?M6&fO%l`!|wCRFi zH7Hk~O}3~@oW5BkDFB4en!r?U1C|3HcI`FxqK~g))+i`@23rJOl4b2Bj>Jr}6Q`TZ z39sCUwpvn#3dq7@GOWZ_UP@fcsb!(}(D1kAmqei5%x=$67Lhd4OQ>UVS}RJ_UU4a1 ziU|Ntvg*hXsg?5tCmR*}jR7V^3RV?g(%z~@T@kh5g`A*^A^vLr-T}x7mZNt1-<q?& zrm@yNhs3Av1tk-Bjuj+@)G>z$icgG>q~g=hr|$?!aSDCTeaKbPH6g<_N^S{@Lsq!L z4~3BYi;F<?G8It;(pFa$+cTIo*=mf#Hy%@=ZTKx<jyo5_p<DXSq(camO<8OA4*cSk zNzX1hz}WA8``gg0qh*pz>U(3-H0qq&{jcmTxawM!Ek^#PX7h2Quadaga8A5sP{#u4 zb}!7YbqJn;!C4*CGL8{(IpLNBwd!-16dW?>8szq=<^-Xel+a%MYoMI>_O?N8n^gDi z=>k9C#d{rzeHi@iz|q&;zwqIVXCql-+5z=_Mwa=+-_y%<F;I>ZbC*UfN6E9bEpHD& zrP8tr4LuDLSP(zI6XY1$)_%rGDFfNw=vQxp4YkKA#dZ45dPZ<_-8{E3!6VORu}fSK zBoI%(U+qc9hFn=opjp^<KO|+Tc3#R);+$MjW+#<u7|O|gJ`H;M%y_I&hYA}Rn3GYw zmu-9eI{@?!hB3EPp2JU)An{@l6H;u3e3I?DZc1aB$dFjijUa@uX6gDq^JXmWu>a&v zrQ~aNjp~h3=`u}@BcYhy6j)vi;fS5qrUFw_F!~p}ei!5=OlE7~WO!7Enf-kqxJiHt zf0&fMxe`bj=lyDWK!A;{1o<>|vM9BFz}5-hih=a89#u6`I9c|xzs>LF_SezM`vqZ{ zPVPo0O0%O}Zp+$5e-&<b2D}m?dK|xC+B8osgL#)69!MBSYm-h{7<yYBl6miKX7c>` zu2t}gwgR?)+V{Dak-#iDSC9naq&)fSBma;bez;9fA~0u=A?LkJ?DJ?9mk<xEmj<7j zS|~H+R8Jb3kn;Omn_jOJ09)*|IEkVTwqUJD8A_?Bu4ylL&=dT!`y2?$SRIo4?R2I! zE+r*6E~}D)Y|TCM%dv3Hs-%KsV0~gzVFv|;6{jnk2svMxcCa{Wl-MO1q5I#lwclvl zZ;e0af9Zm4sm@kpzA?C<^*Pwv?uvc-5NUtfsgdy(N|o2%P5}h0)1MFC$oh)Mf-a!& ziYhp&pdbf`%kC+XF2kyWk`SQh{vv1i)&KuVz2q@%PH5m_NP|161z{+JiOJ6=ePA=! zwt(jds-+wgIrr)OIxCZ%4u*siJsm9X6_be3)(sOwS9Vz?S#Ny;I3)MO5%1PNE`Gq3 z;cIolDYebFJ>G0vk%z{n7zWR&e-4+-;;gST2BO80R|DwD`V6t(pfUdR(@_{r`;rq< zbgV5Zf{x?&o*sv+g%#`t8=n)%lstTfpZyBNZOUZUOCm`kZDy%`-_ReNP8V{Fj{1u( z)Ved2MLG=PTckGbpwVHXFoqEW>?NpQy1kTYo0hBUINIaLyn1W^ytTZlUt^+ff|~17 zVwXn;{iX=-V$rsut0vnee=F4D#6Gq9j70<W=jI(Ugjbqa-T@0-ytQ&z49xJ5VFa?5 z44zANu<zq&pJ~=JtU!pI64TFDb;Uco+WM*du;YpEc09ocvB~%A<bNTl!2kIECtv+Q zq<zF+j~I*FS)mn$bgx>UPe-Zhqf;~OW<^Opac$1FxU65Tm<z#hlM;Z0tcOX40b^h6 z%(0vn4G*)l*wAkrhl;u3A-~BtRui0x?v$Iov`$t;o1WC9dgH+gJiTXs{R*|4E1x*p z=G5OZid#LPBUDV0pwz4Fu8_)v*a}&D0e1K&L(5BPs|BB@PeI58+uyw+_IUm4m9$@Q z=1&8+EUS0@0cD*(foFhtE6Epq1*k?iyI(rc7XTo238?d;#pYHDsq950Zok8r8aa5# zTj<@z`O>OU#?sNrVe}tL;m`P9#dt~fhkDdsFII=rL#z~1q#*Ag`?3V5D9XoXRfme% z>H#8gLa0n<T9xFd(e7T@AaVS%^A(IxeG2I(qOuP@lo!=@MnqBUaHjFy&Xpt{AOa4n zg4%<@VEDs$gc;sXll{$T{&wTX{a25?JCVOE^iKN|Od<=%QFk&^Dl?o-z0DujF+8K2 ztgbrsDoOoV8cD3nT&ZG*HE@EZ?aLnvvc+2oRFz3+F=Wun6lXR0`_;qmNcDUC2_~h# zJr>d^`jkowS4K;lUHR0Kro+5Pcs^=x$|QA?)Y46?(W6|x{2tu#fOYlsG<}2;DA{@Q zw#*~7^abobTh>=HntVPU$Xd4VXX&oDA>HxxQ)D5EBf^8MZ`Yu5MRFyycx)PM!+QIR zS$gM3oZ`+n00k=xCxu<_s{{Y5_!<NU62p{kc_E7J(dfh$e|eaHRkr(IQ@q~`wB;dv zsZ2jHe|zc|NfHt)7l{&ZZT4Bw2l^qw1%4#Cy8MtlT^GM--<b&h{6YDk7k}c5f8E|5 zB;kel<JsVPr6p4gB-zrktYSN~jcAO(CfgCBQcP*+R2y0`iGWv3@wnQ1bZXL2(}NEY zul;at-_n!RqsGZpFMj?amZgZ(;EV_uWtK>8q8&65_{wN_Zp3-Zc~f@!zA*4DdJ5j= zI%hs>j9p7f{Mv|3l*#67n{Yd@RQ!PynizR=H^isIk0EIgh2azThke$YBpkWWj23@} ztJ~K?Guu<odjD|#|6b(!5yCKK_~VUOJAu9Q@eZ;rxwSjziZxe*mFUPbmc#tLNXxq_ z94h)3mAG$I!mFR%E|!poo==gNkxfe~@s3o~$L34`uJ}@qzvrApQt90e2`D~Evw39< z%o7s$#<x1D2JZK@RIn?zEUq{{pubm+_+>Hpk^EHUS+)2PmR5VqBnBPt%{5MDC=xeg zyOCb>jShMMM}5S5tA5hV&Lg2|`=Y$_3aHRo!l4uBaz2p+9UfS)u-66f)9z~%?GBGB zv7oBv`dOfE7scD%>J?e;W=j-jcr#bA;@7fWG_PpB>OEF)GTwi6)RkrbhUr=~_?GX^ zg?b`;*409bW@>?UXiEU_P@Acj@l4ruvIQH+w-Q+a5~PdsFC4S8guB386Vmod<OE~2 z8R=!E;xM!yS0{;=oPE#U2cj5p=ixkDzy2N{(V-xH@no9Ht{HKmFgG9nM@HHfNARu* zO!ngAIDp0}bh~&&^l)A_RbM?Y-Ji@PT^8~&^?nHM%603S2>L;(oQaMu{EwaZ$lef+ zw~4#!N;9WcN0VN>KPKQM{r#B;Q|2YOzcU|xN(g{XNzlx{Ud428L3fblIK^zQrKZG+ zOoWD^P5cu)G^es}haX14syspAESZ~`ebOEcmE!1k1xaL638v;<zDg+^`BE=BD`v+l zhMONu486&Zf53do$k}`x@K%4+4K;c-vOv^_{|O|9)&0Pw>49oB#dMF6_1ibYFHlp? z&jHGHa9*vequ64A&nMd05_p!(^~zf8+^tTSop*bjN+N|O$iFB>IL@n3V#qpl%7}PL zB#^(HY#gW2H`h^%-C1k=AGG10BEWELTb4*F#$<mMTgMmp!o$=WGu~3F%z|6j;nRb9 z;Ntq}ywPBS+REB;`C@*<!GP&d-!gbrIZN{D#rwWPwkb|Gyh!m+&ga)sM>QGmTXr$@ z5z(W(*bco3Xwo^Mz1pA=-(veUx+0UE8-**bFpkiLC#M;WMto71sjGLqJI{`yU%2&* zZnD_Sp>vC)n$$)3STa`6jjLxA=rZ1j3A9qyOz&THINGivN6t&Ow$z+!-Ny7%GquR) zoIaUeU!{^ldU0v6A^#z#Y$u<EMN_acfsBP8FGq}5a!r57GTS9eJp4?Hiqy_*(W#kc zVl04)c&}Wsp8Y`H=+xfh?DDj#(5O(;myQgwUrBv5zl&nVsl%hObT09rq)EsF3#dBz z;=&;_g(g>>>f&i<jCi@#z-llxIZ<J`_wbKnM85ML=a|_($#ey-l{={g8soJ*`;DmP zYGHxb<?m076pP<H*_az%Mm*TftJ%1!5nhzqR5R{`-D?EnCTbl_#>-cyN?Ci>>V*X{ zyy%L?Jl7iJQ=GN)&~R(!BkhEJz7D{Yj*Q7neyP!xvP$JEjTii8Ecc$l2F#nfb*$Ew zu*rmKAybt|W)bmDcaRC%$025*B&3cPe-J1vwP;pvi7eI;z@=I2y@+`D^}w+?zoq8X z{8FiO<~AsaCliVuS`HFDnjC>=6djqTlVBUFlUO`+t_rvp>{p_uc&^`<0-SU|H@GSF zNtjP;r&@gd>=ra}Ym|CCaxi=4C&;>D!>1&6Nzgi+>}oBxSsgxhB|O+~;1XWTK*TG0 z?{wEC05&R8Sa*J7|BwXN4si&7K7J2dGom~jg+Ee*XV_6g^Pvj*tc#m3M~dqOv`-OX z)`yy`6np|Gx{A|iJp*s<7~mwreS`3-pz_u%Fx!%pMu{RPA3ajNaLK(+XS$>YyVtIo zRWwBtAtt{+Iy6m;5_&EFe6b5xTi<`4W)F~ZYH3Pts6=RpnJHHc45-CVdV8ztk{>35 zGA`p(MXka4y2?z4^|VEua=)e52yGpQsC1TKVer|2v+U#9wD~gvQNW}_<*@jz`RF^B z3>(gZ+80IdsKr`0UWQ-0k>Dn6y|xhJdJzMqR<7jq#?2C;H!m+Gof!&u`l`noxtn54 z8U!U!Bk^S|d&V}2S52w#T^`#vZMi67$EQNQHnx>Kj233Tp8K;;7)j4=%!NBT3+rKc z-gY;K!%98h-|(@>P4@xD`#n&YNApNO4^p}WDha7Pyk|{$+ceW`steiuZTI8bYFRnd zJRPjQMc=&!-j7o>RL|B$=Oni<SCB4u7bwlj*SfTg5{ci;9V<QD3J%Y@HWXc-a6Tx6 zjb5C^-fC;m@RH}CV#;TXp5XYj5AX3r<~-5OLjAsn)ZBvTF!Wk7Al=N<8_cIe`m|s+ zn4E@7RfoFKfBnmI5dv&b5}u`0%e|b`9(a~|0Bga9*3{8~IanslBh&f4y2kFU>%v;e zR8L||4dJD<SPz9kNHHHrT-z~vSnMhu?nEJ9oy1~`45={R`tp%J{FW^3^KJWvYRtC0 zE#vLPu=LB3#fG_7V0pIk0Nj_)4S)QxZN${(x=IpN=>->F;fGk`su%swx@tB&0E@eR zbCsNs{rN9~LK@=}seK$$F|jrit+JMvi-;Io^a|#$m+oqg!j3m$+0+z#eVX-(%oW~S z^{I4lf9)?PJE$f%lKOV8##;K-(Am6?`!ShEs(t6@#gOTp1Hix$LGY8KR<5VzyWh&d zqNLXL7M>|3U3?$FqL;R1;WlTR4Xvq>I7YA1a1>4>>B7BM%2!V2+ZAcVIa2iGIGAvT zbcRG*GcIf*TGU%FnvOp$TY$kf(H2o}mP@&+)<XI|=%z^FZIN@|Sd(cf73B1pD>=~3 zNWb?06h*gcxY45r$i8&>clx6R?-@V5p^xDe188O!#m>Top0tQZCMOr8&>)rkF3h%O zKzqwj12?p%eb0rkb9GlusL^K^b;e1FzcPU|^*&X9w+$9rQJ7zoaPTGf=+Wll@W<&E z`YHtU2a1nqy?X0jjvJx_q0iEkm=;?6hyXeU5c7&RZGxXIE_NK!dj2`aw{Bj6K%h-* za7%~GeuMJ}zk?!pD&fwlVE^qZ4%Nl9@*LOrC4|a?7_s9jj_I(G%9aZ~=Bqw@ttt6F zl+)#k!NTB57X3KQZJG0@iG2h#wYiNgY9+TbMQBc8^E)R5$Kgc^MqY|Lcu(@O9AaNv z0+zhBTB$Ov?0Y;<Ln^cLN$5V(u?gS?&og;`3iD)<!9KXlv5m95u1`k6%(QH5DNOND zeHVY{wBe^1`xfFInuMmSAbyxMOIP&djz1SPzAUM?^?e1pX}d-4TG5th#as@JS@l57 zdlQ;?GrY-PZiN1=`tb+^{6;#T5YTVQ(Oj)@zb&5b$s{}|@;hSlKRTCb@p_3+xN+&Z zXS+Cfp^{&N2g%jg3U>8m9lDmifxTo~0b*OjQ(18B{dLx{$ZII{0?V;Jch;vjIot`T zkk<^3<xt6TpdHck(!#a7MwHaa%RA0pwf0(O;9eibPXRo(!grFf+h{6Qk)nlPvndRz zYwsw;7zE*z<>x{51*PlA1t^q*^Tz88)csOBt*<3JfI5>v#1T_bMz*U3&w-QRE3qg5 zP1%ZgrvgdAVi|BWg^%2tJwkMRT0G-n7FVUeapfMwvD{nW-=*!-am$BD@fL%rmHC0K z6sSc#1<s2?d_B0VBYWp!MJKXsg7T`cC<qzI7HqgMy?Mv)aQo7qv!#IGZcyK&g*<LH z0+2L96=bHqki7<od{=_Fw}gGjW4kGuse@<w;E9xoly0VGgpN{(4~QG(TQqBsC~RmW z3>%_6!)V21a{9EjJt`)ZTDx4eA#Bc9wc3(L0N!5L5y~)RF|E#US7v<uhZhk+`_k+` zM*W!Cia){Y=(;N{EideZW5=L=W*V8f^kmz!A#32mOoCCfe)>>1bjwSxL}BG*V`U@8 zv0cqNVDziIDv}rp_VXj!Fl}PzlM#lSCcY#sqZieX(TyS&QZ0ILoRhBXkKv7jw>E<U zT><n^C!U#1CWBY$a}ga?>6golhZl-;=+A3;BJ#*(5yjX1%3rwF1SSx&+MM**Eu*w) zWVJ)EJv$b{#69%h2#t_?O+;dExD<{}s1i(0iV;?#Q!(gV^_o22g@6iQm5#jatu!gf zA;jfGq<qc4#Km6o+h*OtQ(V}}*SHi=f>;1JdZ~n7Z89MNFA{;7uV(s+@br~?0f$?l zXyV)g{q2_SH>E*Tmv@Ja;7YMeu?<fI9ZX9}TyCC;tZP62w>3L@1(}m9$~cF^`G=`H znS$?&dfL#@t^qd(pF<@eC!wZM8qdgWL|emY>suN5=IDOdwJ))72^R<{8t0?~k{v*! zFqq7tBplG{RYnX|h6+<0^Xmh3`Mw@unx3ypJ5qj~WXQ%K&EyAKc4ib3iDFpin{<ga zd|CIU4$gd9W_V;Sv+433I{zq-$S52-mt>8orr4&-f+b0D+(<X$n-V=Fc31aGql)&Y zP`hF^gERz4f!0%+sA5hGCaJ{p0wzGPHR;<=#X^qUW*t=~UZ3e>afLUgH+@jUdZUb* z{TvlbHpbi<%to@(vxFWy_&5<recHj}=RDECMIgO}>&T9PHn6iQNRJdxg63OUe$VDX zb-s>q-uYSNM&8#8_Kpn4w`PZQ;3e!f)t#Rth%@8t27~~)6#~bSnkRDj;Y%!_gxGm| zMro3FL#jFAH=wyc5;&($=)UvhG@Q2H4VTNJzjQWqQe8_@T*5o&i?A<QK=|EthTy%Q zWG}mVZm9jvVw_&}v-iw6q#d>GJ2-Yh&&YB-Y9BbH8%!nHEzuQ4V6?_@XT6BZR5Nls zZ2|8-QukINZ&+c1sI7Tc5>_{G!$E39(lOZeV9>tP(V+uKlAIXY>4l$?n*$v6n6Ub7 z%<ZCz-wDz=d|8b;mTYgd-&!5PeOmtxnuW#L-pI=^fRc`i&r?Y)j;hw}=y-hfLvt1W z+08!O7X5u{Pwox8Ml$l#1eb;7KDz4u%jnLV4qP0~@G;G8*v7g#NJ#_WLsOskqHZEq zl?bsB7yC;GB`N|Y&jL_pb;6wVH7&0J5B+_w+aM>??zh|XBG*8i8hTb17rZy-{i$=h zm1JZC)u$7+431kFsq@hT?fJtVbKfE^bJi9t-pVOHsfbCn#7cBZw&1(0?g>)}yc<($ zJz1+<JU^+dUA(_&<@Q_yhVFJHaNVyLuJ==#&e>{!E3?x1TZ3yWU<|Kihbx|T{tR5W zgUMjfILU#>q@18vx+sy?{y4B_|6+D+BFx|^UY%QilHv9$rIBRld7OUDlT3Zdi@A*Z zlNp_>lWD5+x>{hBC*onGO3$y)OF7H#TPDgcxvcJeTW=Y|dLn?^5uLkr9al2T>#Z*g zdQ?t~v#o<xqfV~@`J#nyFm~<SbvCu-A)SUzKB*k>io>ELQrLRA<~0oekFB>1YikSI zhEFNpA_a;T(n4`}hf=H*NwMP4;uLocyOiQqptuz;#od$Q?ye~oERf<72p{Ks&-4Cy z-+$TJJJ*`bn#`J++;fjo%354i?P(PPbgS9&+)dv&DaZ7b-X+bO{?<@|WI?90r!Zl< zibg8f-`yfDd$_&1*|#7Mp5CyMwPz?#5$hr$<aBe0s<`hzvp-ffBeSTvBBD*?4^wY6 zh%EkuXW^zDYC6FGacHV>JT;*B7e5W)gu6|f`}*XoBOgBt?DETq`ly|n2nlFau5aj& ze_%E3Z}U!un_BiH!xB;icOsK6?V>M=zxn$F)Lt8D+nvgX{(Euw!=5dRzuC#139q0( zb-cDkR1_$sO*F~(fbl^rD7IB&n`8%Dvc)}6>Aq(ZeBf#yr%GkfQm*kiSm{iM0r9H0 z03GzAz$73TP)Uouv~1$$68_i&apkV>sfjiNo52Upr6k*UdMz;sVRR(U(Dj+o!Zit( zfkT=TA=#GN&O|Dba@Y39F{rqWruQH(WA1PfP^HS)y-C#*Vma|&jEQ?=o<%Rt=w(){ zL4PH(!hMl0Xak+TGHq)<I!mJw8Cl?N9A_RpJLJJb{~9T-VQtyc-ENow+vZbtr%Ju( zvrNkpXYSi1kYIC|O#Pbcucna3_7hMuM15z7eaE#EnSb0!b?eMxp1rK0d_j&$4XBp} zSXQKo<8~yXRTR<vhnSR%eBbprf_z8{en)EfGy;Kg3iK>eWUoePVL>J+<br(#sC*`G z4hobZe7!5roht1EfK=ENrHK%io&qEGK83$*Lat24>CaGp%*=DJm)Rf9?2-A`uUHAT zLhLa=q?Tq31IM2pq?yy_gBXm-Cg_1VY~PLL7iOQJ9dWkuTl*e7%(Vxprz*BkjNHYi zgn9;|y^Q@1i8o%?r0y!K)$(ayx-PXJiTsQcZ{3JoQrXLK^D-@gB^LUzK_B(vxQ>^w z58tV)6Xh?w#9HmfONdlb8BLVb?jZ>qDDO)N5I0>`C>css1sI!7S)C5|zKQ|oYZkeZ zZ{sOeuE+*71>Ai0c-W*wTeX@7(jObnUEBOzr9SDEx^r72Sc?!_Ac~{Oz8@CVr6>N@ zs6{$jfI*kFbeND(3YO*}7;Ha`q;IP*6%KZ$<;g0V6r)CV8{x0HZkxyPP)-3CLcXdl z4=Xgsda`0yI?T9f)gB47yln(XK+L6-vOLoPzLiN%kGi(3dx*rCo`jPZ$2QSn-Ey=Z znFo#4M_+yLd=Cb7c^W)GYm}@Bctzx#*$xr$|6o+?ka8c7Bj*{tv{H09ztMd1zOKqO zW+a(qAo1#NS3oDMi3b`pHN93>SbZFP^BpayYTa3*LBW$|PxAX0CvFxn)qosdH1K+q zv~aPj;Y(Ks?eN%r?^s<HAGO7T`m5aa*!ru~@ySoK_+i==M2{V5mqp8n<DBuSrwU79 zAhd2oKL#E{c=)|3chyxR+=|F!qd~Eh>Q)}&lKI3jUdn$b?LObnXV;fYy=o<-Eh!*K zG0ZLb?a2Rva$()z@c0ZMIv+3e(0Rd65^2Y&<)#|(0frdD5}(=rIP0MfZ8;)t7_{3; zY1eRD%5lVex6@;n_y{etjq+Tm1=Yq)WSt>dS~UH2pDDKKzTTdEm6lki^SwgDZ7uz& z_aQT3C!f-v9_aod4pWn60%9T03}MsEsZ_oE0h8f&pl7o(&$9@$L~As^H)**#tc_K9 zgqXiP;j-xZ5!591x1ei^|7H#+mOz|@@Rs}57B&R-sXJq_W=U0NH{_^$QnrOKS5z(e zcn(Yc1bw@)U$@yuW`?wlolg|Kyf~jE9nQ{GVf+G{JJOCPiYsVMD6*1dkcMuhTA(>$ z{bbt}Dy*c1VY7f|p{S<jLt?a~1h)H}E7z>st_unrQSY11B(j1~RSu-B=#P!kVS8r> z{`0zKeRS%e<5Y(}-RV@2@RnY(+FJ_xWt^99_&S!-wn{`hwA~Wv!+Wb?)kJ<s<{zo= zelSL~Bt1$GeG@wo9R4NfDC@CCzaJWPRfvpz&PZ-?Y6Fa4UY;nvX|MWOU^{1Ye+ai5 zv`8=~_kjiaJl|8Ms_b|*3AxXAhGK(OAimHrrThBOd8j3W<jz7$x!AcYEir#`kt+^S z-n?)!L&NR6U3H!5-x3h#Vy3~)UY*MW7j}KsL6+URC~*d&1TwJ;Sxn^g819ZqI-?sY zS1>eG3)l%*i73?zcTieI>x9=!#5`+DZC=0rE{0$E$ioIJ(^$+39OdZ!2NSC%;G7bl z%0d;<GjshX{E!5<SUy2oWGgaFIkz~Ql#;v`lS?gm?{M4qCq4IZX~~D`51V$-z31Yb z(J@n+f1<b2Hdwo>O}~n$9@<!l>R6^Cp;>jM_k&>!5-q!gh)xon{cSUONVJJKcm<Jx z-f6R?b+_Ldz5Z&UNATb<zUDcI_^q*Li503~_qaGw&(x_|vIm<?YRR9^JJ{X2Y^CtJ zUxlm6tTQvQ)*%h|>&O(jd~Xb=>0#4S`OXWKa>I9l$r>mnrhv`li-65zz-Oo<&h#`B zxJ9)bh*vIOWX>%}dK;YpfpB-H*6ZVa4TD77EE2R$ePEcVt=i*_in)^ZV*WJ;tF74L z71&)pZ_DN;C=QjG4suRgI=me9mT|i}KN_-aYcedJzq!Yx*jLKfgRUmMS0PsiAWUK0 zA<W~>-`Rik0)-BiOz}E2wEzO|+yMrV>}ie38lmQqdKZbg8X1D1xRMpYeQ^LR91bMP zioo0h8mSWx;8&wz#OkPJa8gNIElGh#$4AlfYr1%sRL4N8ljJ35gSu5gVb9CI$K2~p zm~!?O<9fEkw+Fk0O+XT%-)8RT!<+NU*>_&jq!ZGWg=TtBxMOY&##h-Kfa2N8*4t@M zAuO9)egn$3t<>&QUMscOI*kyEb(zgM3~`LgcF_%Wa7YBEfKRoau4b;d7@Tz<FF3<> z_2MPt`3Gddu{S3rV_!`qIM+u;sw?#bS;|oaOO64jXI)bnQ&Wx0$AXyDXEMXF;hxd( z^iS1_Eq5Y!shJY>CD8<cify|l&X2{uHo`@Y`UtBAm*INqa%B%nhbR-rGVYtl5QBhC zHlrq8<6o@4bH2zk`x8)J>%MG>1oNzLsFy>HyV^Xtgd9?fqpkHdzAAwr$$v4JXszq( z{^1O}_H{+da=p{X=630Nd;lc>(i$$zA_|yi^?tgU)79(o1A2dJe26>7r1qztwjzI? zg^d}FfmTBqD4onrn{3k1fxX!m?jEZiratE^Ug$oHoCom%(Z!H#e8Jv$6+;*E@II~a z!Tybka1Q)(v+{rQ0I|OL<`2%Y%IE+<?Zyi{@#ShsG;Y~#Psiox*MZt#s)LivO$F1O z^lkre{TLch*6fo!ytbICFf%kqP`wp230@l+vGQ84J-s2OP0}u&g^*}O^yE`4a;g`a zv0Dh-%9F_YT3#<@kjScpc@cJ`W?pP(3u$V!?XwP68^!6mdNKEX9sL>sM<6mpoG<zc z`tqmmaWm)rr#YFxrAaI-xx58Jh2Cc{qvJ?D?FU?2_UO7#1C?ZX&96C}E$>#kC~u3m zi$l(AzTcn~U7J7NG!E9AWu4)fOi;GxxDGgLm)UQA&+`l)di{JdtuyzPeHPNCW%=^r zqv?G9ING%DReS$IgAIk9I-U_`TVg$Y*x$ocNjc<=N@zivT(L{iqg{iy5gl*2B6#1O z%>)1e<#~lT^?O%=I7?V2NYTNM3*DTAwv}d*IH_YcdAIWB`syRwHSfnS5uXwd^7cuX zC<(8>HVq%(Zg|>gyB}>VpqR*#N3Jfcix!=~e`$*9hyAmD?rFqet5aB&yiYDsoc#V9 z+^8E`3ZK^-5Bou&%%wn@a}PtZk0E32uIU9{J^vc5k;g^}>;?_{tZxZ3j(ZARgXuK9 zDI=B+fAVR+p*@)%^n$_bjtzD1<^3fp&mo3X`w-;b%i`eLm2yQ2`S9$BDo@?1Gm2tj zY2N`c`6iN24#0fUj?b00c>XlatuwpyerMWs-PfP56z&X9_FeuE9!pk}U%lfAeF=d7 zzP6G@d<@NFzRqCZv7M=2%t&u7)0<U`*g$=>72%p%r$0}<=P-#NR{r@d-`~{I%|K}X z7lHq(sk)?YT}@pUC1!k2(QuSn%Jp}FmDFi7qC-Xp8C!#Wl%Rgd8Tn|SA_q}dxJXxc z=f$|Va&}=ZNf=}u1W;YHHI1bw#{&8oX_g7!gzA))se#1$?h)@Tkg9_H21XdX;Iy$3 z)-C5V*|4kKu25;aX?+<{TrxE!8YK3jpFlAYS*5DfA1Sm2`z4ZVC}(~$@#XQ16Jos! z&+f_jmjeb1gj|$Sz)T=hOq?nSA3m$<1@;?{)X-Pa5A23=U(nT&=chP0%>#AZ(y2@* z&zu@8)}F7WuSKs6jWE}qnaD^P?)>2*7_KAElLh~x#vUu$Agf)lo3dbtP!Rqo7hNJ2 zc2cg{QdWhIui?^jN=Z(p9i@QyKeyh%`mqIC<8B$t4j6c(Rkb~QP%*$M{$towr94)_ zWrv62<VlLiIX}9)r-B+A{Sq+C{9xm-RKOcV^YG$KthNdAkXc$*^?gs$KZ_+1>H|SC z`b^|9a?(fhl6H~fwB5q#91QBoSs22-LaD6NfGx$~<_hYy58H}0$c;TZYM)y4)<eCS zvb;VPTlzM+vC}_wT-ms5<GA@W8^9!yoQVBjiO79S6}B&#KT^NAtPS{nwRY^FXTLxh zM%tU*S%h$uIN4f$ij_z_wDGdYWbAj4!`Ixzgaoa1?Lz(X4z_r>qO$wYwXg<x2+b&M zd(-!c0X@~nYNNj`PB$$1KXpYJGP%cfFHz115%`5K*6<G$J+rY`n~Tk<&XP=4J9(V- zC89Zjllr7IasBH^BGV_pMS`SsvrUz!eR!*~Xt2^8$%AI6g8E~gc)9E{dpC^<E%ePd zl%S;9vNe;hK9gk{xWeLCjcs}fXOkvByEL@C&Y0|KxYPF|e=7X9!R#{SA^dFH2DaTo z0&G_D`i<0L&dNcUS-KPq(gZGedkdNuZ}vB?XnuPZ8YP!o7z+r2p<a`o6@|huN?ifH z%e}=tLNgU%zP3)2u8i@n&Rgfev!DZSlk@5QzzeIKMc)|`45|^cJ+~@)1~<EqmXy7O zW;r+*t@@fH^B^e#ESU%u9E%#Gn{W{_Ii%5zvSV{GvGzKWoe(Tzw{$ATE72>v3qM(k zjy<GFJE?F7Fk0i@RqA>kyGR4;9LbB##QlBPHc59P+_u-}()1jfQ7AX6Z1U8C(d#Bd zG|PNyDsZ6LdHjt-6>Ihn-^m~Rt~@d4r31U}7-*E=D+{;vZtWb7a}$poX=$?KjJxS7 zh~C&@by4h)zzS$>GQBz9{t<6Yc@#~#YJlLD&2ALX20Q1rhxNy@@6ns&nB*AO#%V(C zZk~U?Xp`qFU3umghZvtR)Gf0pfXp3cNJF1Hy)3v<Wh>h7uVUaYJt2mqypSx~m}pV* zkKe<CJ33hfpseb=giEtJ&J4%?ONT&<4k5(cQ&WT$;%6n`0UHH};~=Tq;bT4GyAyH` zAg5ba7czQJ+R>b7;fA?E2$W~#*Bc!0)7ZZ0AJ!vwmXX_d(O1BX2YK$lz$tDvR#DoB zKz+4%wNGiMhSbiOa#P#vkgdEM8vO`R4FBQcaXt$=%R=K;{4!9aB?nA+vK+5YzK({4 zEpBJZs#$bWL#($_b%eq5b3*cxRg#GSgRby=28*<Wx<FYYwr{EMl`#jO-yD8AuNcpw zA%BS&j~YYar{2TL-lQZB$=jOkluxkN8<=bP1_?!!Zh;*CUDENs$LLuJo{8(~w4aV= zj|(`lU(B(Iq6Zr$1IsTqjs7%|2jgD+ka#fhX9kp6GB%A;7+~-jzR%gs4*vjK75o7> z!Q9J2ZBO;@F@JW~^?s+pL*1~)K~R`-C!;Qz*#;-^&2Oz9?&Rf6x_OdArKb<qaQ(~i zjTn9wIHsrs^|IxArPoVsxx+H=`w&g8_%Hgw)$2iDl!4@)rmb+D?7!9$j<pL|S*CjQ zy%_i}Bd+Rp#g_b`Q>xrlp_f3cYqfIB8Q+#8Nt=d1s`|$W<s;W?LFvVJ3V<7ZHZPm6 zMOo7FD=WnA*(0Hs4DRYJHJs2xes?K{%&+U1*2AM+n$lNk$rb&7CZPKsM3R*zOzx}o z!vr}NnYkd19kxGN_{3v%UlvK02~$QRNFc^li?0oL$2I&9bV4Ge&2@pRH`sHge3$8$ zqfQYiUCnjT@r;HQTa%<Aupk8qj@}<#GFpFFQRbA=@a#Rb=ho~PdaKaMkg1lX|M-dD z=^v%e6-<FjH14fFb&*OTnABP<DGHX}*%0sR#PPrbl5jtvxv`sn>gh>=G)=O?qHL&3 z{9A$!6K59I#DPTio?hU0PpcWfi|z<Vi%0I!<94=KV#L0JSs9PXW;7aIv1&q9+kdOe zFonna&A>^lx-%f!+8|FF_C9&**8zh`j+qUf&7nxoJ$u&8Hc_pxlDtP~uYB9a@OqH^ zL0r464OZHGg=Ye0`UjJAmbWjf)S(UhMPyf2&YNT!YxujOGY-J9b($J-iSsE(vfa#e z(5+cn^z|e)PAm7@lAzKrUNUSn&fA=-sZ8!BsmW>K#kk_$kxz1YS-BIZ_IYj}2;55R zY7|kmMjvj*uC#B}eb<AW&-mKgmO86B{>>L+N@X<`Di0xeJN09}&rT(am;Ct6Uq-vE zgcG1BQMBuFeIN|LrLT3Ye&}x3K+Qd8#ZX8V49hrKj~ttrPd8Nz`^JN3t%UHKyCK%V zBcmlsr>(Qv{t`Io`B19g%TZ-^+hy&lrBkP;!}q>wkv#XT_;z;E#!jKz1_;6JMR@41 zsSvSHzSRAx20e%$Oy_9M^^P{OXMHa_6s_BGZtG%!o)iP5fsoz^N*K|k(01mp7!n=t zZ|4%8h*<B*5seY>GUN+`qPGsR6}#V`2z|0dw0-xZmesYszy|cP=&3qO^%J0dO=zQ+ z5LVmx!(pI8FKOkq?z!w`=|uo$b74%z8R@yPQB*zYhxUe_Z0$PQK*fAfi!Y51Oiq+6 zI(O-vPw)AkSV*LLuLj?u&~!s6@V@1hqs~+(UJ>dl1tg7bw%0o}q<6lzZBFpg-PQqk z0j=2JWt~tyk*i1`#PZTEBIa_RVB1zL+OXF$&IfNZ{A+1<p7;~us1d#HkT-shB1e|@ z6`-tjsG~!60_;2~b=KTHm-Siyu+mEH1NFN=;NvkHHTm|Z7PHh|%d8%Fa8_??1OG?a z3i6q?fOv~z1u>R&BH?1FLPk-{0KPQmC&6X!5DRnONzy2OS37<4FAXP_hm{s>js-hA zi^%JqlXIrJ!@o4s55i`d0^hU&N4SHn>noq%y4*rC#SnaN&vB11y#rgT7oz8%D7mXK zfa(P0evNmjH&wgdL_zEO_Z6fv#;)4;otqhRdKFdrC<%q&!}K4&69Xxqpq$%w>f2Gy z6iIK1Wesa?2Zwoi!Q8SP6$Q6*2t9>-i&L$Ildd|`C4Q)97oXF<j$SkY+VrSb_G%W^ z6B?@mdz3Hn1bne2T$#&H)0&Vi&e8W3NZXd&^?3M8$?^VsH0tW5r<(<iYz;+w#;I5a z9DA2G@Y_j$gBwWOtNCqGnaDN&RXl&(Q&*w6Sw0q0O_x09q8@*9KY?>F4%En_qTLvR zwL7T4SL(}N$$35}=r#%p*g0((nOg|15GxkQ29?<h*=6PLyhg_T_H0Hr+WX1@_g%8c zFVi-Eo)JnXyQW&*x6{&58eL!cui4!O3?ennvQKM>#u_Oc<nuA!-F)ThFQp%&Ew1K5 zwVowIQmT>B=_zjQ=E}U@lkIj8Zj<6PS}B*MgL6{`wbsJ)%}$jz*6Yl#FT-{hqypcu zLeuAd*tcy2&wH0Q)bhCB3OTC+rz$=x6~nMrNl=C=ZK?iN%h!&?gzdP=H+<KEy*m;w z3r8o`@#2eb9hyp?Ze{(+k@2dLC_wIe{55|CqR8&}B~FXqqBsvLAIi`Dn<6@C>oXnt zrZtb7M`ST{R<hocyV&A<Z-6L;XF@RUkgP~jmohon_amXCkTW${dOqqFcyQ~gncvHY zNxr9`sIz-8O%m8C#<ml>CT4*r9gi^Yy3st=_H!zO-}7>Gv>6tETHefLT&!GR=y6yf zxA^OKEoidna{cH_&32+W^Jr0_4lR!)dfw&itc1SpP9gdsm4>>3GB*HLKyxQd6y!Pz zemO}Q`75}hD*BGVnxNPWfb6=CQB0Jo*$o+ApD5dRfy32Nk4gO{iEzhmESLI<Sf%Ec zNRjIHD}{|B5sjY+{*q(zJ{e;EAPZmf8>vNl5W7?DBTX3Ky)4N#RPzx}^_KY^>Wvp8 z+bOrrKa7?`f*d9?b6;Uy=LnyU7L^I(&C;QP|Dpo`)MLQu0z{cU*dPCyn%uJ-(vvK2 z->_WuRl#p8Ng7NfMIXdXqEF|MQ7IP|;^-h+tog(Y?s%Qg%Q`9nai8FG+0{;+Kj&fZ zCk^vlO#cKmd1uu5*TF9;Z@Up(iF4{LrA+VV6Qq7Y8M*A^SYhSt1B80QOugKNKV=gv zop;O08=tkX00E&X_TikS!!CB@zI$OgQ|^KS7v9WkG0Op}`CBX{yDx!sjZuoVA*qoN z^5~pDQ2t<^IXt{4OA^Xt+$3z!;^-s3)szjtlrr-7j`xI{eimaFVHIWA@Ku^tvuBID zx%4+$-kIvdwO!k@!4{F<_MT<(?)|GT<J{rh++Yh}`HrQgvlsOwEO{V!RKpc&WfW^? zG@I~lYTq~&&EP5GUwKfFk>w}8eOiz^<LJFSU`Nm}5O{{kx`;Nin3V?kjBZWMcHe9Y z%KA4t9_W+BA*bEd6!31IZ}8DksU&%2y;B+Kn<>}uN-v*~GN1>hsDY{aQttq-EzIpj zcoHyu(RMO8gi3=SiJ;SRius!z*nKV|Q{`H)E5zL7lHq|#5-m2t=n&DnTq}IAXk~hy zcI5?w?+r4_OikPn<Y96{`@*qB_)g97ekyCcb13DPEkioGj+XM$8-8)O%?x<JQPi#I zgq<u)hvZCXZ*EA?dFo~GBu(OwUH14=CXnh=JdD`rFrMgK!)AS!<yA%?gLoQ-u5cka zn<z~eVR27K8P&%1P1IkBf_awWp51OOkf>Kk`ytsf3Q<fRX;$iG_7rBiH=eNzMDOqC z?1n^dqux3j?hWeC-nYw}g4Iyk0TEUii1O@v*ihwq`KSp-;>4&u$tZ=(KP@l~xR?{4 z-7tZ_@9EKe(&+<t4|af;YZaGsZaK=86Ic<Aac7(|SQBpVzS1f+yP_*z!cl(7W>Lf5 zx7i;b8WXXR(-qhKlg+|y2*J3*@&YliK_oU88T;9^@9R&S=BdF{4@3ktIW{SE=<gG_ zpqk?}PS7s`m)=sR1gMgFTkW+HFys^uWe|}VzO~F>iSvXu-SZ0I$vHeKBy4Zb;h6~x z>D_{GJ{2)|uT6xOnIQ>YGNHCC9DhoXg4qQjpn3oZ?{xeTN-NjIpf;AzKhXa-!@i!n zF+|c9RFLsvCmG-OnagtUDH`2V!B&%rlg=t6Q}ZrGh7FW<J2uf%{qYvSe-$Q+H$ff# zO8aXTB~Asam+|S`*PN(^TlnVg8yrJ5+EeAnZ<=Pi!MIv;Ai8vp4q5I#HjH>>&|S=8 zHjA_LS~V<}O0b2I36JgOejM)=j*y0vWm!NPLx!|$c^Ih6{{>9-GP4I0X*!{5XQoyu z?cV)pH`!R!p&ve_sT2kH=G_nrUvcIp#>8nvF>p=DW?((?(wtt1VQw*p?M${+JI;Yp ztBM7MjD;L%Lmlsa=4wA|-Hb?E^VE}Fvnl*GSWn`PSMSYV8jN9h<<Ru=;}RXCA%)77 zd?RU-7hi~i;natNiD~{Ood0(p=fC}zM(Otm)fLhCzAy9FN%znj=QO$1=30}(p&lGd zli*ryy|Lr#r)}Haf7yzvt|#$!MakdJ%PqI`0<wfgPqs2E=t1O$exZ)wiK)u9_Vq}D zxiLJW!Z)?ZSo(Bv3eUbs>*K;D-KB_tGy4f*AUylB!qBkthvpsCMYdNPz@XCe<(oh3 zJxTPA;LM_lGwZeH&#E$bv!cGWluoW}p@`L_G+SoiQlY=*m!GA=WSZ>s=PR$CDak3- zI_{%|-EHx`Gx-tl?{DMO&jJJm106I&6~cm<qS$iB^20rd&=?K9v3_1xo(%E%({VXO z(;ENn4#6R*&)nILxP50R&?m)%8;oTG?FQR7H>;~w;<`pg@xNU3`W=u(&%|jSe$z*& zo#r!MRhhez>a(fGS|_lKHz2uZSS;m~I+uCE8tIcvFYOjVhh*~w-_J36bNQk_gytac zN_JxOp81)~;`eT^GHtMKI*++-m)Oc5#Q_zGy+;J)0NM6~@23w*0E?Bpg`EEN_~LuS zN?}uWnU5nmJwNf}!<=1a;?qo<<4JsL>~@XMWYD=IGo!sDvD}$k8feZXMCE5?{Jg0- z@`d-xMK}vCy=k|HL80DVWEZ}B^S6jQ0fhuD9c`p%y*+yDaF?vdvSt5kP7`&zgrbLr zmEZ}jTSq}P^~Hq=U|*q+)4i*iwIQTX!4B;j=hGbC_Yf{W2(}|sXKw`8w!WusjhKp! ztep6`RkbFd;Vl1A&)tt&lql3{STHJ{m@XfZ0sUxHv=ITtcuUpJ?K-k<;7ZCd-jzm- ztggj%Q%Gvq(@J?Q(9!Qx`^V2iXaefBHCv~%%_c_+AJ7{DGV2W16_@M%8va7KtwyJX z+1V_}8qRK>A>$9o<(Sh!yNoLdA!iSwa`@CtZChaT=^)osp2~+1;RF8oAHu&wmEF56 zSKBPY$t?$Qlp&Gzk5BHaX#{?^pw^ck)Od?r6+dN}g1dN&yfY0dQlEKTTN!qgj_qVb zLOUdiE1i9T``_c^+~A7>70w+t>sVzvlwzju-KwivU#*HpEB{gY?{kN|0;i9rb2bqO zT*b0m)Qhi1(Rf*Au3mVOzDfmDDn8r%em#^%{KhZFC6HGahOH@G;_e}7wH~it+_2j2 zugGopa8_7|^ZK#)4-|NJRkX+5?!*~ek2RV1&$>|q6~>-Rp!chmDnt@^yC&Aj8@>+5 z^rMpmUM@%?Z}EoRl{XLTd=k``+uQD5cuk9IC{y{hl&hq4))4`}%5B8yGj4a1Qc&#y zn6Eado8l`~un&m_{De2h==vnF5sLiP3anYNV!D=KH(4Wkcauhe7%ls3n#w6(HA5yr zuqkkEbmC7EjXKt-%DVG#+wG1ZiEUpq3*4Ao056|gpiTmhL{R6A@bfHIV6(XLQkK`G z)jOfxNq56{($91>F27;VY(a{B%mo<tb~_=sGq{<Wlj<NI*JjS#rOK8Bpl9n{v#FyO z;P`#_^{Z>9CnBP|NrIUC@f`d<%LPy(FmeKRVECZDO$>&8GjYfC@X8E(fD+$lU!;DL z_7Y-&M}iWoS-bE5HGqDbkh0sAW$}lW3GX}`b#%)9jv^x1j_?7Kw+A6^=8VkYGYsVR z!?2x%E{~pHOG=2v!3obhX;$QpMC+Gqge%g|8m=(L)N;+{&gN_i@qlDIC07BmzS8Zi zJ@rUs4&1E#=H?CY@AdCJccfW6<@y9|TWwd{8UlR=%=IM0^mYt;ZVru{TlV{Qx3*fH z1HZ2(l0n~^juDHWdU^|Wisl?)9~3*a9yn*7Y>(aj2@#~DLq^#7jV*6vG%k0}muOGH zfxwerrEJ`*!mnC|ApX)4_x!UK$cR3XF}TkLd^k%M2K89$>NbuYK(G@|!K-rWRpjpY z7ra`bIVqXh(!muLj)w~;)FX)?DcKQ``v`edU>n(0tZ{pS(9J00Ke4>0?n6lRia56( z@Taq??oZV@8(M;-_rv+GCQG#Mm8lP0;)mc4C&r6stN964?)+r{x;J1?yJsus&0A<r zOW#{`f}c4?m$Jvo><DPU^wl-hI_iSYVi2k%5nKbeKc8ec(&iLXVUKh5Yv@{MwlldC zX(1bxn1<;YVgjSz@wP>fM5{U91pBHvw``h5e{ssYy4XQX{K1Uta=W^iF+Zw9b~KVN z<aiQ|{E5+d=RUR#aM%{Kuspu5mZruVB5x$Wg1Kof6B`%pDEpdpE%)CrfWJz+%4c=Z zi@adLS#eR$UsE|Yx6I4mu<w6ezD|E<hU=Zqe;_?UK9x^|v*@dMZWL6;zeFP1J0+y- zc%FE=mS$5SE4nj2IX!;ur7ev*%fRh=Lc;ZTwnxfU^mU9iFns*R8?j0?ASAgPkFJZJ zIF<r0zwevsV>HR9Wc0sp`dz4@6(%^rt!2e=)VS+rP}4q!kOt&}4Z0%BEc$kzgSR^~ z4{5B6O;U)t8L^GhC5Q-?4jsbtcbygw<*5WWzUWRdo1k%oL>OHFRXWj|M<=vn+4TOe zL1;x<G~5-)Xl1b4d1vk#sowP%8m;dki@innRLL5?Wk5G<5O}R-gYOmDfp4vvANuvy zx_0?sqg)(OVU*myEdF!qg=HQX$0Q12T3l-5dz;rv*YhdIs>`$Z=HnB=mzm5X>0Bo{ zBTL>UXIX+C3-PlbqqKRCa!m32+2KvW1S@)XOPd$Ko3FvcVnSDsVVtK(V#3U+A_GB% zCUvs_KqNc^RzJ49#tn|(?2<~Qt1UH$I6stEJvrlFh&OdKjEeQXUYHHwdzrYeo$2(b zs8~_h@nQ=(xN6@n41(Qt=)un?GhprR7aZK*iqUO{t=mr4PA7c@2KfRK`+;WXt7A9A z19|}b8Ywz_cP&OI8uLMI*pVocmFdKov1NN&HgH^X<*w)Ad$0HC1$<-p*8J`m@qKbn zk)I`0ZlCV!T(Jm<T=Sv)ehiU^#OjvOmy^|z-4ANXbdoH7vRjM{fnUB`hh3VRtJR9< zJ}J9aAD=NQ+qOTzGZ$$cO;w$1qBMQ^3uFRh+`an{%HX#2lkZ|ssd;H^p=+bB#3!2` z@#yt|we#)lyLLMZH>;fse1##KF{cJwRK0K3&hRlp%?F{l|C#owp`PgAip(g3^$-$S zbm{doR>fr)rL9|=*9@8%&V8|LkS(=87F|j!1D>BJC9V6lmpX)`zvD92+E&>(H<<%I z;ZTm=_X;|AVx6wAt5$WL^l-Iw?fzZeqk$mH#UjZXS4x`a8WLk}4kXs46V#bql=S+7 zjj%(!TeG7tQ};u^v`I4XPQIfVu)a;6kNdq$a?0tbV}O=V*w5Fsq7pRqUh+Nqp<(6l zBj*ZBO<_1E*IP`?!=F$3`zk2gchL**!hfQ;k~qrL;-9(#>xtz!=$De+_OgsosmIt@ z7>{iJoOixTiCR|M^W%Uhfg5qp2VLyqc5r7Db&weTDx+gB)Wx~otEFJ8wy|T@snF(? zskG*J-#J{*7^kHoQOrULZemw5iW#+AhxpRIzA&Sbs|jlQZP1eRCew3b_5|>s=_aq7 z@HLh8566{zrl#-`GZl)V^^tNtWFC9+f574Y_X{B=zTJ5F(11IYON;mUtNx5ZGIuxv zGpAw!@Ccu~0f`kEG0%R~yTtoD%0Gl9#uy&AD0nLiNr@$zIs1^btXw!Veu`>w2OO)t z2)5ikI&9nJUr8=M6cc)jlHEOk9$)S2j%!c)y#m8<PX|B!0k>lo%<EuO(WAGWa`5iu zAA;*FVeh+cQB@Z?lpVKW%f7?FeAUk4MC@w|Esej}J-wG1$e(MjuyMg=Io=|>b$N%b z(faJH<)P3d7e6oTW-iu1ZH=$~0DB{Jk<<QXw`HbOaqb_FA5;T>R(WKZ-9`vt%g^+t zq)m!m&;<D&hn{AO$afty4FuaUnuZ?VPk`e2;ATAc5mBC=E|>qnoc8x0xi9|^GVutS zQ{V_#8o1VJJqWdZH_P%Z<;T-XJz4>Q6s>2K6cc&tp52mN4}?R{RKBZAU-kg|A@oB! z-@3te2lKHw((Af6nnjyQg<^DlI>@%o+q!APhPj5$1$U>JgNlmX!@Ua+QOqN?mAU5a zY;?{qqqvGbzkH8RTJE46T5Z+GM6b91NozgbsxM`pOf)t@mnJ%xfEyqZ6D#4;RK{@b zK9e*1(gs%M#OG4p`!DtRAJYNr<Aw{bDNi-73w{l~6Q!08%dBy6u|7ZA=0q$}b<@|B z{{$tlyDN+?FAgKY<`70U!u40gL1Tk<Z+k$Wx0EF52Z8}Udaq<7n)Lo|jt0@AZPu+A z7OlMVv<M;lfAY=AO|$0WFdB}j{$tbWrhEY_sbc)-U~mW`Ppq5rS24gQ>D@;`votaN zyv#U;qkd=9cH_|fsk`(ZoAf|ZJ(^{q#nb^^h6EG837!wt17(yB1XW2=9xC<}^p6gE zSHoxzZ?F70@ZxUzyk)Oh&6c&%<!omvV(dR!8!fz`#b-Sblpn&S-V5VM7Z-TYcph+0 zo(67F=I6i1{yUnh0Uh5ASorE~qDr)hD@L>3i!Q=BPX;oq`&qS{WStf7{*=5goYF6X zOO_}gmJK$b{%*x>n;I3>Y8GNmA~Ek9YpxEC+sV`FI~z0V8!Hu*0unF`@V8!_LxCQh z2m6a{g2(53<>h)@wC;-zCK7D$>=V?<o?*{de1_#rQh8hvU?Xmtb<8OO3`J?}C9<O_ z)U#e4r1`lGlB0QPPLQ=EFwA<-6k@V4_)yYjO1z-iQsQ9M=?rwcD|{3Yh~BKbvJmxl zOi7DPSnMn6(kT1-4>n8qtI2$B-zz7c=bKwmCRPV=1#x{MpCs$;-Uw@DZMIfd=axtb zJ3zLiWAzw;R$|wa6u+GYpM$(ql-x{r<YHE%!_nB%0%>FEj{qI)FFLN5!~f7*O5l#a znxe!$Z)|UvT(`~~IG1Z_q`8pkL;Ub^9}(ouvENHDKtC*g^fYUcLcydVF+fzLVRE6O zEFWsfs=8>G*?+v49U-(IDzbMu;7SCKEoHh+lft4GuxT0)^lnvjcV017BESw+`>@xT z2m!qN6&8P~F3!H=FU4M07p*CQzujDoMdj#%_<2&|Z*aLkE&svx3&7;n1O%U$Uy^?Q z=boQ9$nM4XhV-y<jwtuTu2J@v-^yd8E50X+jXz4Xr4Sk6cpzE$Q1Vhx`;(7Rt-q9= zQ>o212f~NNcKgp*;Mf!_$WkUfBMoKlY_^L$&nsa|Yrh@P`Ox>~zx7N!z#qmX`&%4< z^-rS(JWJC?ryOR^^DLT0m1WSFMLW(41k6Yz3U2hQNFEmwoi}ujUjIlNQ}L0siMjG6 zY-DptMg9*i>-ec|7teO9M2+dzKGD+4!PBIfMom@z6jux`_Y3QU-``Ma(w(<I1)J0P z*zjNdgGHM98cQB(<2AQTA@JKWCEgBC*0Lz)RmS%7U>k|Y<?0#4+!A_8yZiM}HE6AH zqF#oy5v`2iXFI7JiGQT*77+${`7qg3Lqn4ISLfx48G2zq$#vylxC|5j2z8!+{7)rh zDnn9wY~C7bUs+yIq-IumW_8Srct@1t%7iEL@)Z?!?@jb7))>rljY8r1KM(#DN&Yz> z!se9N+b`P{ze^4$r5X$GmBCKrtMlc}BecYui)#m~w1CPp#Vd1Y@Gesc?dif8PY&mT zzlhE44+ugh{@y~J-eFl>pRbjx#G+EKhtJ*!fS>z29T&l}c)1GvAq_QZ(7Efp0Dd#R z6t0vtKfG6Dr1QHr$Am0nCvBPhmjzYtzl9_P;qd@0pI^11^T|Kpuxt|iNtB9-c%y86 z!3+NSg8D&F1Ph<{3iaKfD+pZIA^O>MFYzT^aa=9e1o4yk#wvOG#yt@`-8VGY&-pa5 zm2SB-G=X})YovJU#udu$sX~KQltdJk)me{?bL4SPKpG|wns@W_L?t)4CO~eP0`}nh zR<IesOL+c`ck!Kr;d`_1yojO2lo_hCq%D8xzjZhvR#$l#bD1S4;xejJuR%&r3dfy# zC>zXRr%esegpOx&VK0(b9h4eQ>zKmx{wlF^jBb+)9PV6yrT#)g`uR7J#`9gf8)dV( zM6pg%YVHT;NBLV(UjLcIy{)I?_dCN}f%ktliu?rr$M~J_t7LaW$^Q3p6np)(C%@Ux z)nx8L5+)GFd#PntpU*Z&aVvO_vw?Rnr}yUYF2T^mt93~z*3r<hf}z4Fds_RY*Z1Gc z&Rd7ymUsQ7y}x&Fdhp1^lg<&<oKX<`@9K->^UmjV<k$cU0hzmIcjVPM_f&e`P1Q*+ z(r-95-Ae@JNFc=PEmJZ=i+eD|9^X@S`t;!Fx;56qNO<kKhW|atx1lJNS6k;h$ZK`D zy(_~-mmCxEZG2Frz|qmYQsk#871HJODSE7K?5*X<Vcf`|zO~h}h-!gmvVU#x^zCny z4rJLL4ajY6udd8jlPraf6MtG6tpnFML8P2Gi49j91w;@g5?8-Jjpp$zZ5n=i_8`b2 z1@9d#$+#C;I*ViU-z^y@riSt+HnU`S(R1!pbeto{HM<63Oyt#T&#{G%`}+(3&_-u| zZv8o(M`d=nLCILb#;!xLrF!fLT4^;MUt-Uo-~d#w)p!e@E^gL1It~s9F-P4B>mI)9 zJ)gIcgRV%E&g_e~hln(%3mLqJmCJ2^@e=WL($!iTop59JG3;DcE$-1mX1>5$aeh8P z94C>H5eGs4<Mr4-kav&MLg&5g?{QlRTr8#q-<aORooU-sjc>Du+Z6=-*MDls&GD^= zd4hljcb%n3+^B;1<#?S2S!wZpQS3<crW~Huv|jJevcPx#wz6DUu5^kAgG=Ek<D-g_ z>N;nop7P2^ikLZRP%8^$XE(2uk})jXPV>zZY?i23nQ0pv)kdta+iNI9%8PEt1wlYD z$DMO=)csKZ`XEBo74PvWN!gW88_RUU(K#R*y1M=vB!k*`eb!LcFK%`@|L9Gil$=xc z1?0*{iaxF)WnC;_wa?@f*+>HNp0EsOoIr>EyeFah{5$6L2OE~MXDe5rQ7fn4md4cX z2GHlGa2oV+&H2hSsClp6lgc|^u(E_Lr*g`pI&i#gZ~9%EfZ1@d)<KE}bk|MKe*yS7 zm+52rt6DE3%(0L9lzHW1jYpJnE9&ZS=8Vnv6mO5Hch;u8Z{p>bFN;dhR%u25tHVXA z7L;`981tM_Ov#uZz@*xZGZNFrJzY22kb<g!*q5&)GkC4EhS;00B;eeiDfce#PbjO4 z48ID)>*SQ0-`LxQh_YuxNYMyFX5d;%=>=+YyIv-dN}P4?1n0^e{ouqO8DvsaII@sf z9APN<ljxU4>UHFK!S()-mAwO|pgNvJy@|^_7ZYMVmFi<67}A!Qa$Tymwz;3)YHv0@ zXTO>*egA2V_(fxx&`Ftn3)%!IR#)hC+MD))X<8drLH@j5P&e<vb(UEsfYIc`!hiK) z8ashOlDfXFZS~Mu6%_0>W$&*|ww`cJQ)w!Ze0rG3NUk}--yO1O4t?i1xEFOyp56#q ztl!_2p_?rG{z{8u!thVOa|2J#>ZFgK`WTn&U$Z{Guj|@aJvY>9w(t-~Gu@vm;H{Pv zaK;6P^YB`!-B5ONyZ2P0@%3s*{^@AXDxKGi`Eu#_TDwVF2<g8EaWeLLa$!Di=H2iP zIyH&m)bCWRv(sc_$0`%@7>IioHx;mJkKwjB{C4=9@1G!_&keys(x<J#b=lt=lCJUC zS$Y_eDY-Ye<iMZM=cFji4iW#T9qmh*G#2NNoY+O*UfC*JoMyEu=X;+Tu0)A`2@#9Q z_c@i0Ee%V&3pAD{YRy^7>U0r|f(v8cHqg<l%r&;LYM5xqaNBxl^|KmaHsei~e0(+E zjT;OXLp;8Tj=a!KNo*WBTXM5HTcWMw2=|9sNsXRf-h-DHp+m3TPwx&|T~S0EuM_XR ze_N`$anQxbYA>vlh7Z~qHzUqm{V@VYRWF`dncePav^Z=!#~it)jI>bHPW13F8$}UW z>iU5_?0YJNcHb52R>;gw|C9Uve4z7z$HiRT^(Q#bdBjAIB1!U=s@hutRY<0l^0Ve* zRO?=sL<wNJwWZ#2(kxPvpag`pdHVA5(iqK4Cl6$dzHrQ*f*S-_+k*!$Hj0HTTk~H+ zo^*dF&P!T|GIP|G@U-fZGPZ5aQ0)!bV#?qDdGJSRqOfhwdMCFDM(2lD{`8OrK|9+L z1$`^oRx>^O^wVH$C(Rjy*Gt#q1^QjD`7C1hL<eAp!+~|6s@y5x`A+uqDbB-yqkmj2 zTp%2~4wGM<)*!LMa}Gz^&BHn@qSl8^``zw|yWxiytZBD`bw&m^-Imt{{-u_LMl}mI zmMzZ00|`-MYBioK^XG$anJJrT7F)S6fubAPwrS5(t69H%f(uNvNm6fLi21otD&$`~ zwgm$Zm`c+z8$8>IH%1rAy`PLD?M35Ci#1P~B;$j?Uz9%1eUP96{Ho3+=N3UXy}g;M zx@pYt={=a;!Lt>N|7<Y#m0@_b64Xvi@Z(Wmu_s$g*3R;ox~}_mR??rODT!E0FOajQ z$Wz5M@UW5ES<iZ6iF9Bl;k-1R?}6c%x-GzGj&*6-{Tcl(4t)JtWSylJk=R2LOFfT2 z1M*DK8%;N4<~zbi)3olXTA*Bg=p}Nd)pmFxMBtOrPlodghj^&lF_47Uu!Ex`QH7nk zkXkiZOV-#!Mm!kIVxIICa=blc<QCZJCGdo2;Ks$(z&k>)K1&VPwCwXMXY7R4ZeZl| zG3%Wx)$Q4$G8IRLLgaPSRg=o%rJ2TFq2iTa&o{FCSu?(q`Lov@d^H1n-#0zFo9kGj zu3n$j*^n#}P|Hn(ng?R3Ju4=_J1cJa7-l(7_~=>!u53)24MnaCVPvSlVm3?p5S*(* z_+cI-SDlI*mqMlH+`#y*+T<jD8TgpOn<9-dOio!$!_Ud+*X+hAT^xCD;9EYc@#9Ar z7xpzH={z*u)9JfM#p?T|(i;(+T3r|OvCWviyJwU3Qoc+bd1){Hx-(I$Oy-Y%3Fw*E z7k*O~U-C61p!{9-jO0hkdpez<KBZib?-IABy%)JxKC`?*R~=;X_o457oRjiK5RVZU zXAOEpg?13Dv3?4{2@3SCRyQ`$*xx@AABh{ztmY)<Ktl!2HB=?qR>~q3^zEqbbl#&j zSS?s(>){x#b$s<pmujvQu-mRvLVogvNVh>}A2dMC)vnR>cc1ja)ASxZ|4*O)@)69> z4szU;c#0Pu5PX-}U&jep*3g}->0|(|s*|@i*aIA-R@97m(W~MeluHB+j$eybZmx+3 z{jIc8;OFmyX0xWZjP%e<#-cbo6BV-S(n*7JHJ9cYh$+Lii`x9UWqD*VNrv!XPXuLi z8`maaZY_}Mvu{aUO61fYAwyIzruwxvcAe%<4XvRN1+O7*KcBPtSXz6m-v9_(udpX} z4}a}JW&Ox)q7SR>b0#4RBW&MW4X%jmMF+IFzG)7am8iqSR}j#u-4!ig7h#r?8=oB) zm>ZqX|Fs<2+vR*LD?*rY`)M`*kflXrDXiE%aa416dFyy~-t&p=u*q%(tPjJY699*$ zzv<E{4wcYLJJa9hS=p><>jorj(&mefblDpX`Iy$aTUp$nt8msbE|j8wIG?zn0*KTE z5~z4DV|zh(<QE4;P268Y<@XpPoTLm_w;c@hgj6CD!RiUZSq`p!kDTIF%4c6EW)~I+ z8Ws0y>o%f760Ivn9&<#ADrmHkK{yg~a%}}Jju>1lTE|H&2Ei^BjKILUq&oB4d!%%U zb0&`SNmowlJfV;M*0Ys{@Hx7zIFlJa@&e$5+~V$cXr|BAZ;sIN=X~#VdwGaE^#$FW z7_U4dATq|WWWMA>_x16thNRop%xMQM7Sz&*(gmyX?jE^RvGI;h@fEU8B^hy0n6=#n z6!6^XVG(;KWG&7r3(Q14O0<F4&J^ievoHn5&xgz_#PwTi@xt=G`1QqYhHLosIIJt* zjK0;+Y;v})sE7btf~s3sqU!5ibQvw?C=XlPj>3I6pN!aFb!I@%k=aSSH?<Jp5*lZ` za2s?nJQAtA$@zmfqprFP*GV$sy(d71{it;G_lGs8?}7#bKnS4*;T{(mAcL#e6*T7W zcvK})CSdCE!Mmb8e?yz&{jnQSyTjqxwDPbmhI+j=Ml;c^-JEnrbQV=x`-xG4Ur*QZ z3`^Fs^=^hxRL+q_=9kOdwwMzmH1Do=T{o+il&TCr-tk7}UyqaJ!lj5|6Otj=!tuaB zL@R7RvqTZ7@8`-9EN+cS(FyFFD`prjy?ss6Y4IcpuE-IeN#786z-@P%Po~#tIKnV< zqaWR>DY@Xc$6h<r2ct`x6YGru_sTUl%KTMvZtHyk=|XS*K8ycwu7?dVo>kEt-G(5S zs7|1nO-w;-Dy5KRO?{3iHyM-#9GZHuRZ9hW)&qF1iEV6d2sq$0_y5n+Qbz>l_#Q9E zFKDK?&31k^!UkX3BQ^xM6~%Kds-4Y-(Qf<X<WDc!QIf|C5wv){uRbsmaA9T!1nrj~ zMJjl7TUv8vA&IgQ<xC0o-?9HUulYCHd;IDHJcnr9$5`F5G<LntWobYS;9S_{SVCt{ zEZ8d-`xOc27(c`O^*d9Pyz<)WYNU=K-BJ5+hb;q`C(Md8vO96Bv15n6`O^)5mRIwl zq(*)+m=^zW)NgervBTpAgPa{fo(5h7T1WC|M9CFMvDw}b!2dsD9~(q%EBP$Xh<25I ztcLJA<Nxaf?;!#Y@S~GHx9JYyfKoh7zn}Pm(C0mEygBtg6n&j%R1dt+OG^J~GWTCi zQvc^V{|jCJ!Ge(DJAh((XI`><=nPZ+Vr8aFp}BW$#@}QG)KQTf^D?Ci^pKc5?%ewv zcJjW_S~Vy72up^C+Ha_o5NBhUWdj+_4}Cr^8`NXx2mg5hWY*p1@Anbj1ew@TzwH@G zK^9yUw^P~TUmb3|{Ee~9tlNE*a>R$nps2z~+Q^TXZ+GNS(tqY>5nw#4_pgw~v)O+5 z9x6XZ4mzzr7OLWOQJnN%HmQ04|Mvn1_nce!0)x?*&!d;>h06byJmg<SXT36>+^B|e zVwv{$F+2VY{ayBi&42TLPH}&D`?ok7BCL&|lnE?6MIOG_SYaGQTdqvawd~H}aYJRh z?<>?u&PZ+LpTn}$hBu;DNkNU(?WW7+#_bOX{w?hYu~-NFp2(ohk+qY|7Ark+HIn0a z&X<AB;ZOJPTdx7xint|0tp_Q&%y^30e|nj!&>Jgp;)kYn`Iht54Pk>#cm@hD?!(xO zN^iK2ew-lgiuZznn?A1Rp%t>873PUFAzsa;H^!m;pDp<>U$64nrVw~jG;XaHdSA*A zWd5*&9XoVEGxU17{8h<^G{(Ibd}-KD2`ItjkjXyW_Rn!c6j~(zilD%Hs{R>ecNXJh zNp#_LBxTW<)we>(h!@1p<nmXyOVGz5PLQRmmPS3Lni)@t8JGDTedkHEYuc0h)6VL6 zTK+>P{_<qc{Yq*)kl2Yw_nLokmZEu!D-8NUhqc}Mgf><!vxFclpO~AJ8WhCu#ES7+ z`w)<y&-(99D6uiIR*8K@<$R~1@#cKTp<fj4haVmKd*DUDUBR%x-7^a#ao#^04PSIp zI<WARo~KG-vG)GGmoY!K^{E0emIX_d^W!l=FUgk-HppZOw|^HFVq^=toh$w<pSiHJ z!OllRZWHwz13tX*Vc-<(fWJX*2>9-$xLV`UrhH?;<6?}C{~dH<f#llfK-La5jd=+L z-;as$GM6N{7E14<L1QZm{wt^g=tBXv24*P!7bx!7M`~q!C`R?ek+vY87lTLU16Bd- zl#fApiPTf_e~QKqn#$K=jrcy|KD$H4(orCraHvx{?r?=bzjesO0_QGA5Z2R2g2jCu z_{$z2tIluh5V&iBKPPZgTv_lG7*chxSY-rDl7*3xbShe;^G~qQ*^BW`{(E(^i9($z z@j-ZBUVIS4rY!!0X0>998N&V<_7Apo?C$~gOBQt+52%5*Qn=s0BFoVS88EusR2;>z zJOffr@q_+9md-n#?eB~KpH5Y6DMf47-l}#HwO3JlhT5CjHQ#L&MNu(gr}m~|?@}xF z4lz=@5~D(pSU=z2@Av2XpL@^aao_jcd+vFj*ZK6n`F?DMiajE_D6=(Q8!UK(F-_%W z|2|#k%*ttNf$F^nN`H#TZi(%edyO0O(aASXd?I1I!FZ(b=M>zoMy@K(5KmY4`kmWt zkCqn=RT#!Q*N-^=8;AOa#-GUN6gsnNznMm)yqo*)hJHP9(PsvWN~mYlO6OoFa@Z2$ zyH=Up>B5zLZ+yzR$Iw~Mb&z{6Aykk)W`?^tk@VWM^L+9?G>0s-<|zq}<BP-FtHgUr z{2R8mH-mS~S1YE|%w(1G?tJ<+oc{Lm8$Zc|vOgcERtycrvAnwzumt$xphH!g;5fjV z-r3<)j--vNnYpZAd?(Pm=5w5SMsKbf5`H6Xc;zl)ai6$r=RB~0u#10M4(<9xvL#*w z`*mQv_v`5@<6obc7MZZEM^7_%Uc-DzKi#;t)b(RusQAM(H&u~)J5N4k3V!R{dUp<< zWP`4JB1z;J=lGO#)uGv?P8-X)^m-@!f8Olcy+VJj?k6;MCgP2rr8_)<cG;7Fpzk<I zv?X3B-agj4-kF|1_Jx=_UC;SUBrgTGAK$$7xM{ol^~jkE!_iEP3dNk%O|GJivu`1Q z*On1wP$cvqlq8V-7sb6rQ698h^QR8am$w^pZ~i~m{8r=7X+g-<m;KCS;(h3+Pb5*E z?}F}q@pp8~1_I8)ejgi3>rJ)G%EQ_2J}RBk1_>vL!_{jY)j-^3&3+bW$Mv&V<s<jQ z{(Tp7F?h`0){p0z@1iO^l`wgpjESr22J||4x(n_tgrZ&hd_hu`r5nWOFYImx_v1rV zIyxoo#&RD)bHhj?ZJVBx_GGJu{=M3>kc&Yvp7qUh-<?VrE%3=#9P_PWR(F3%St*k5 zJNC0<Y~X~}(INXC%K|CGS@$uVNpqEHBZ{pfSkh(u<|mJPJA@DkJw|&MM%U7v^j|gB zp|He#q2uA4yZ_taQjEVIP<=H|aK8*TgC^uBZ_%0S=UBOeL8+5={O#jV`Dha9H=hn3 zf0Yg}B)r`Ua#4NFvRzS&OL&D$C_0{Yn$DxX$@agk@t9}t$8jV;Q*w*mSj?F7-J}ri z=mMP6L7z$|UVc*o{Pb$z^Fe@m!v9>KB3s(Cn_S4^58M+^7UH-6|0C&RDw7bq9>#Ba zq4vpx6Az&iY$S@j2==$-4_%DiAo7MsQ(=*EXH8qBVIM^spUqW{U_4G2YXE8Pn=&uC z<xx<15t0{dPRj4l?7;t)ug?3K{r?}i>Rwjb`abMmXi`<kSBn4pDlYew<J0>w?)t`A z#s<N?4&OeQEI7pVgqu_4<y%eOJu>dv)4B0aXgWFPDBnLGjsdn(f$r}lrOV#=%hu+b zY%~1N!Mp-~JyiTt1?#Yme8q9=Aq3UIBaDv}7I~qGZVM0JSV^S$r&xOiYveAvcavg( z>Zin&4M>yjCdIXld!F^YjQXa=<a+22BsWz-auip;rs2oEA6V)o{MV8Iak4e$(~&2& z|9ukv2Kl9!Zve7bid#y6aYvOiyHhx+zT5uLn!zMIw06DHyr3+JrUx?xH<AS|fBhF1 zP_gYc29^kQX8D;LO}2X-aQf}f_gNMsV!&hq(1{x)Pk~R2tmF80r4@MVT@$PXlW)k3 z&m=$*TB@fdDxRxEDcEY?7%RDL<V~{SBDCA!<7$-c)aliDQI{0_GVB+f$jS6BXX@Pf zA2T;IOK$BqtTRhd>PZqsMwz0SD(*Tb%P1a1J6qW7^JizjUR`ZAiTei!?Z4k!SzdVK z6Z_%*H#vZ~;}pm6@snR_p(eD=ng%UZ!=?rJ?w?KtSM-^KMY|npe&}+P?nd2d$PdxC zg+8lq6DH|gbZ1U9NgjYbtWXzy{(J&qen~lE=f!d`Z?TMU?HC%L&3T>hQA~}b`JF30 zMAOx~S3j!_FNb#tfr#G&Cs*}4CyH?jOjn#civl|=*l<5VQ{xd-aBX<x5<32`c~BnK z!_V;BVqZ0vC)vD4rq*3A55t+f7gSoYQx4#hX}zCo?6dIRIvS=ktFs1~CGLV|pV;+^ z0&GNRd7<^uL)jPi?le;q9<<5w(}!y_LD1CvX}?m23==Ar`yHD7nCCfXTi_-xd`2;D zy?{YBsWYmFa?D~5Pb>Qf;ecCxSUc?`&74*uSzkWzPhp{%@0lfYQtoyUK4<5<z^|f* zaCdnTkE_R#vx9f@d)rIj%%ljq7go&%t;`X4j^|CI1447762BNl+-aK$XO5$Vw<#rV za##-7q<6QS!&Awhe@Vf&p@TEdBF8V941k>lOha*uwsPX0{Gt_w((FJ;l}IW(P9%0m zcQ|9h<zbwIb4Sfilyk2eQD8cz$VB4J%v3^EBu7$;*(+4NY_!IGYg$cQ)^A1!uP0ek zL8Q^<9tpLpH}d|rsUNEvNvI=F{ww2b-cAH2D*fQHqMsRTMzFjtG^|UHM%7!2uz*W1 zvui|<45z%k=&9rgzIM2w#}vhadRKC8mKig-Dw*_sMqG%VcANGvaK&<xS;lOLajQ91 zU1+yh^?0^E%nhHlq+u>{!1$_`zV;=Q=Mdl91nUT)Z;q!qJ96{n5AN)}P9`<Hyn%pG zbD7PHuj|-ev-D}@kgJ}VB5x}+@O9*4mr)sVSHn$94DTBz^#h~s8ur6A+=go#X%y!d zLvEMo#Lz1!3#%LnlyZOi*XGAhxtH~7VP)Fk7ID)ru2mcy@@O=#>Rf#&Q<4>}Xrm~j zuH&%b?3S^LK^}xM54lUqdr%3oJml&0VFn`$Kd|Obo>9_ZZqT%LKYI5+0K?g|U1jX& zYC%y<qC+`^dX`Qwp%FC53#8g5_BF9SO8Pxr5oVrs|D?UG)vPkh%Cu71*zO@kXT?dS z^CbP=g>NHGRVC(<-7LxEn1{?2x=+T8enFOSz8IP!cPcmBO)D2<wSh}8pjqC=x^r$F zE*(#4@99_`bXNnHcRViv#sl@b@5G+b%|+^qDE|jB8=p><-rlCnd>saos-qJ`EY@RN z_seBI^zeuDOH>&>+O6hi@WMMhPZ{b}4sG8=AIH#M{vyz}5jKap4zVg*g2LM>kIZ#s z?Hlf%O1x-|rEP4e+NiS_boAbkc<ap?0@;ew8Gdx8)G(>=i%WVJNSc05*mf}rg&+A^ zZ}1H{^mkrLO2%yrSK2po^QA(!J<WmR)lVbsiBbWx40FR@v>T~&Ffq1Q_2!4@cRQ02 z1B=IZ4^6E8uS-h8-l4S=kQ;HTcuAf%@(izDxgU-YARXLnnV6nk<!cC2!8xa=l1saR z&18HwhdD@N9f8QoVEECDKi+k$HeKgiU^~$cOO2Cw1hZ)MUA+u*&$(`Lo`84Vnw|YU zzu$*R6n%QAYHHePw$K>7mqT>|3q%y|cZeS@AZqw_d3>u7XLeo>K=Y8@waBzq2vW9M z<XYu5yJxG-;)8}>Py~o<?}S6@ADv$b=cFP<=*wvnAjh_XGk9sEs;;eni!M6`VR0K# zBLp?&Y`PO1)C;zkfOKoIs;5V;Ov^pX)T&vVa`i%8B^?CvAzP0%n!o%5w`9e=FSi(^ zH~qK8$%cJLLw$IWggA5=*|`p*YcYKdPL1sZHVN@h>I|VU^puOwF*9u>Hwg(FnOB+A zu1zw)80U6-_eoUeR8^5l&gp0N1wSNNNN>Yj;^6+@p-fTiKb$E8hsu@uzdITJ&(X0$ znX?~&t$=*wnDl5d7yEW#bgBav_ZitO&#cBLybbnec^`O>gN|GIM&a#7HdID&+m|`q z)z&s^sL=L|+0Q|f<?)y6?zyHrVWeO2MjPqC{V34P#(PfZMGtM?F-ysEajM{!OKC0W z?{vGG%u8J^tl7?McW}qng-i9rwh&p%F`}i!OGowbHV=-P9=xg1q&^ePr6u&%UsvgE zSJ*_Qd}3N1dw|LwZS}N+i}dzsNSl@79sR6axM>#HPs4bwqJq8bDca<YPs>8xAubM> z<ClJz36J0}{~WVS`E0?yM$jYQ?tUzJz`;X@!p3y^%<oQAL{u8;uZu2!Ctr`*4w1Ip zch6fszI8Jsh`2_TjW+$B@9Fn5ckxYi^y^De?PnYr8j3qqcoW%IS>Kxk-Q`Vt4Jhd! zRzp7%vj=7Y+WF|wGi+^5-WPaaiG%|%$mX!^`CzcH^Y~Vs3+VmV&806=iuzEgqqPlR z4Wt6~_`KWn^9f5{RMI7fsT<SOWu`30ohka`6iZr<;U~?yktsb}ytZCZ`&yM=She@O zaldOJbO$vN`yp=*ay#dhRkb`44X6Dl9B#APs8CczuTJOuZ22=;;}h>8B|@18T;;m4 z@O<q1?(SBoKF-ap|DuBEHd3-cHUH)?(&Di|wpEmUujEmPPIdO}8du#D#2lx$Uu4Jq z=fN4mixgG^<y75MQE_PnV^X7PlE(+|PxoTn9%^w^f<7}UHH=rO7rm7zaN`cWi;HIr zG>$kgPcLipP_?9V&Y3qaoiR>)4&dvPTpqQzRh&$7#R*sUVv@kF*M<6AxXyXc4v&(W zj!h@+9F+OE1oNGhPpW8vz4T19A5rJHYWjG1+}~!suYS~ewB5Vtc@mL*kkI4Knvd3= z=2}@a!%$H%&Ao-)ZVmfOKh4$kfM9npad+3hG}v<1Ab(7Ba0I1gzlN{_LM;dP$~%9b z5OYNwAw7;oa(kN}?QKFJE6c|+y-^Jf%QA`}DMIT8cE;|kpuwq!Ya>66DY_Rh_rUg? zva<mP^oQc*I-|qW`U?GzHv5sBp|7k2jj^5bk7|!h_{f%q0Zaeyr@w^kE3;*{MkYz7 z&L=#Xm9XpeU^9V6=Sl#<b>iVR*cg%5@3Y(IOW961t{a=q$*8IJBTOCtbpuz*5fBUu zq&mx21}=ZXB%<8i!Gk+XK_9vw?$5M&V(Ys`5wxK=#`y$Jk*y?8#;gN;m!WTA*BQI) z;H%n=P+U#=E6mGHyXt*@Cwb4}kkzfKT@Zrtqv-rXRhzm~KOj;M=sPIPghGXh7RTVe zlV-^m&Te!yi;d;bduXIKY;lOikgP_IMeR`cFV0&^Aa3Jfq-lv$T-(IYr%_v!T)H!A zD8d{yF=Dp<zPi!VGbO&<Y{7*?MSGe#yP3g1Ft~%EQn($Py6ts#8g-`^b=lm#pvAiT zdvF&%{e3eI*mTc8+M9G<`nO_*7R|pQZBj%w5p&9>40~Exmxs>Qv_l4<ZH1TA&tM_! zjVgY$eU4N9!H`|$)f)othEob@d5q6lrc7dgUU+-)HqI*e>&5tQj9qZ{mhA0(PuEju z*{M?2<{d5M5@?<#fCkh>Bs_J3C{hFuH>O~hgv&@^9Pd#ghu<~dF7~vzNA@yPg-@KU zaG^Hr5Uy>x;7i-b1)TfY(;zg%!`UYS6ilz_6x^o4d@E<7^rI9THl8b_XrZTQ-_B4d zzktPuI2|W9dK|%KCcE8dff@;Op&$^rYrG}1zD93lqTU2JoH(|?I~~^E2tI~7QIH@i zJ%IYnTQH><^T?wj$?}i-<Qc0j9Xa*2rdf5-zo+FV{qlHejz~2FnNRs6z@6huPByZ# zLlGvE+p6K-5sXPFn_n!P?0(cKF^BKpK8_k#=yc@1ePsm=Cq2(tGU0NEYGs?`rwg}> zz6s&F{BNk=jA`hWJiIcaX&ZSPZDY39z66{X*++z53fSczx!ThVT^O0(Gh@hbcu)!T zB5hP#uWMg_H!IB&Ys)6z@z}J6(r|+yK(N3#6bAjuki2gDp0P?1+BW<lL3#cDnxNg# zlNoG8$;_L)SorrRw-*m0QxTd}^mj#dDk3<iQg?}2!gFt;9I$M4g7X7Nz6OpXb8?_^ z17kkd5F}*v4f>m}%*vs1<R`pYun}!2UOr=15g|f(d=a$$TA{WhaHj7XLh}iKzvSWu zUKZ2d5}eObShzfAp`5@kP8ThoMq8klrNxamxf;eBW2XiURCc0A28of$OEksG&iFc^ ze4zk|&ly_9Q8DU5i!H{VdxGr2TY$J+XW`3I6|2p)qxW-PMKZ&yJq6v@L)v@tK<a0; zdN9y$$xir_oCcMI4hIf{eLhCSo$PD;o*DDpu|z!@oER&%s{rX;QXScqZj7zPXlyQG zA_Lv+1c#$CHyg@1)n7H{6rtGAV28?OxZqQ`)-T2&gx#Jq&1%z|Od^s5K+kST_ahoy z0(FT@Jm2#FwT0=sI4FF#oep~IZO>-}$@u}(yk;{dTN;xF+?P1CIK+ntl0%zwL+a`k zg$EdS;=u3WFPL_F#l!%|G%0F`t~4;9;-jj<wnhH<t6`bXJ*rZq9PP^!PSXa(<oyyj z#G}3@O<%b9*h6Ku;u{v|=zJvpsM6(tWXNEf<gpyJr-|#4HH&R^%kOILAysV0d4N&b zQ0xBXKUh7I3lcY?1re;auMDSFHK8wT7q{W@yQ<D88!pv_q&fDCqz9Y3X22&yJxC@H ze|y~jtB>`*|05U~IPaFq4if6ONbJE&*%Ev<4?W5DQuD;SXa<3ZiZ4ND3YF2f{4zo| zh2!vv{0NEeNhY=c&uQMS8}nwX8E!JQl%GikRp7qWre%oV&h<*wor1%iA7-*rbu9Tu zxG+?N%RGIGjEymH+d@S$QRyNr;#{`h%&rzEs*z>WObP4DJuQ;jk)nfDTUOjDClB!n zS<u^VnOXb*@c~rj%p8_n>RV)Jfx+7~j(?es-n^+eOYfsLO>eDAf7k0jP3z>x3DH)F zYb(G#pVfa$S|d&Yusi>LVPOWTRYuHhAPxN_^a9UY2*)$62L9sRU1%Zte&nS#p2Nz5 zaK5$7hqeuw=C`=~>vEbn<gjuGH6H0>!-e*V^|GB`0;^*kht2zEByueC;_?OS$Wm@) zzLzU4uMC_to5?`hKIN{fRILt1$buD=*<Tx15!jba)vJ{u^npZt`|+MEaEadvJ9a1c zKg<HkKCD6|E{xKn(Oe*@CLG}%a4g$LTOC=P&_fn5R-NIb-66pDmg9>`s9xwLa>44X zk(CCRi)bNN2zbOBVV2v~QfO6ica^Gn+r#jL4d+xEyMgi*ThI<$l|`S&BePGdY^%?6 zs;>wqZM!6wj%W|!TCY%YeC|%L&wOp%wFK@}a)_W)jRE@S?VN1$RKMpH!*fgBpH;Qn zG`b6jJ;So={OA_{6D+X5`XF#~cGEG)ycsAqhb^)#AbeuE%u1g+%=gAxE;wHkvkx2( z&taF_iX!Vty~f1H4RNh_^;ZFLU0h>6=dSfrF9S+-rg2e|?nS#SMWr_Tt{Rmo`>ca0 z|D;8l2h#Fs*WYN{(1y5;dN75yCVyzIXnA~xexit>$*h^56QIl6p;NFELvri*Yys=O zYT92@#}M0ZL8)f40b-8$kQ#^4=D9Q<=W^5Q8}SHSx2<b#AF|K7oO33)R!QrPb{568 ztIQmv+U*unG73&X%R9dpCMoQ|Z(0IRCiaEJdr#=FAvl^^7A`K%X_NSi^`AjT-4i;= zadxhj9kHhnO{g8@gSNeW)oFe)uzqi-&^s<X_?yh*P=s7bQaJ*$ea7Xz0lnmg&gZyE zIBv4z6Z`h(AY@jX`06ogoj~0Bm7(~@>akYOe@nF-%ytIzy#hW(DLOf=j(hf;O};wj zp$nm_NA*z8L-!+#@XAUlAN5dg`)~b!nlDw8WR5W}+s!#I!klcSb(2?tXSH}Y{RPdn zCGFAaQ45RY5xs__^4j|B0=YQR8UZ_RkQdf3=tphn9;$_XLEX~_`Fa8I%=FGtpxeB5 z4_9q%_B6!!Lb>bC0z9C{><Oc<7e)Jid?$ZqD#Jg6dYKn^thsah`SQkKenOQ2Rpl7Y zq0`|I2f^}wiKYs+n!N<p3@<9#zk=R%=U3$gjc&9y2aF?hh^$RN&u-a^k6QUsR=0#q zn0z{@7PJcaUBs~2=DJ&-(THEm9&%n*<Nv;y69X}!i<FbRGHdS|$O+b~<qYW%3?_B0 z(I7!2@uARWIJ=!kjmlfM%$|>lRJk0{x1>e973)9w=E>l95?2ij@$8hk_=jHo=P<Ob z7?A7QwKqLdmwcXc(V5`+@k0^c?D3}6j>e;0Vaj_})5eb5Rk>K|PS>u~zJabxa_^(J z4{B(>7(qoS2Z02M=yMSbvF|2qCsrQmPG5TLF&y_NuA0X(R3Rz!IPdrMl^EZ~ZR){# z!G~21sa5|)^FgWs$pLq&vL)=4H=@P$owgl@)@Nx1oMZM@`a4L?DJ~k{0;{H=@D|aO zrBCbsrmm!>$nQ-&D8Jii!N-*g{dkCXwzf@AyCZwu9WuW+(_y*A{ddL!?@-={NDG@s zDOTkYv%e2+(H7L4PZbJH`udDlzW!Y*O84Q}5Z?O4ic-)7*w4=}9f@&ZdlgdX%MkX+ zcwkTcZ%mCB#C3CfE5<EjG|wW!LtD!nTJ0){go^firOv3o4HwiOI?nv{w3+I?!{@f+ zV)m@c<{I-u`E>S*^Qk|!>P7n@-WxR^M`lXi*%@~0yn;B>w_(X{8ln32pxgES`V9$M z1b2SOOTPM-K%${rQ&sdcQZBTCI7M?^N+id;2FCM&+F-^rYtHB3&4_v>qn+y}dX@CN z*>sMU{?{Rs23OTgwXbvHOu}=za^yRAZq3YwseY85;8eyYg`=|Bo<vfMQts=V0Jqwd zuO1IGy??fD>-lS~jc5hFvfTMOn?_9_^mt&GNp-eu0JIg4SX&;Ff@5w_=N>q~>Tk=A zu3NU>df6Q`T=Q>70QeGd7|Y5geMQal{#wT}Tlut7*IYEJi4uFx5wWT<ariq=T9jUw zm4ouS_+d0+sAg!mC-1lnZp|+Km=12%LP2IrAeeL3Ww2xWe;7^84wQZ=$OyMoLe9cv zdq>0oQ)jcMnpJQ2QKsl32d&KzV@ix|=j!9f#Q8yIpNZI!*KNKnz7+G?iwHzUknXb7 z+NN+6e}X#uYGlJ9q#}L&SE)*30i?(x;Mq2t-j&$rnS$hOEANYbo7o2uNoWi4w1ELn zRk)`XxkCPqZlB3=yyd^Bin?fH8qGXjASDZ+BHpHTk=S$Isgt{UI!O4lkdX6e@h(Fe z!4!qq=jl|{Ch&sg@Z5BT%&mH+b@zDLGWBKIA>Q+w_;yPx$oC?@1kN%|9GRSXFn@)> z2gf=zv($mJ8N($x*rc2#Jo$Z#4d?b+c&=2ipwo2|4S{glzAA+PDmsY4=$V+njyLcu z_Nun}_1!Iud(V$|VV4-8XlLpG`yxw`-RNe)oW}#&18#Vl<`^ffkd4|#1P7JWO@DF{ zv3n-62VVs}jG)SI{99I*a?dTT#OO=2Dl=M*XQgEZX2z3scB>rg<Rp^RRlSR0OLISw zmF;f3Eh#_jYai1rTZzZBB!lZCrNDrR?O4W#(YDRQ8o#YD{H_uXF3jEq7Y;z@_sf5Z z9_y=$X19+?yhu3ZUienfhGpq^Yu`{RM=z~abFB^d1ytw1bTM~F=e%}OkdL?-$t}A7 z1pS4qE4FiFz$bQ0qn3L?by|!)x@~MFaFwdoTlhtS!CorQo_=v1Y7ll>&<Xte;FQYi z-G_1#!hD`;wLD#22yYMGIvE)mx@~GDLB!fZ%$Cl(U%GC(k}L~I<}DSKsp@UE1kTLV z+gZE!qGUG{>+hgJvxK$jjLg=$oxK<kP0+!+8O)+Rn~!ov=N~f5Hf$4XRmqpbPk*60 zNph5TCgUP8nyxA9`|X4}b^xlibl+HPBerm#m;TqeK;wB@#Tr>FI&d}B$>aGc3LQ9u z1Rec?T^`3Q%~7@aXj$f`+_m<<*eOi_u;vowo~?D>2VwzNZ}DB>W1XkHo%|ruS*5+D zv*9IRgG_c`hj(JldgGr~E7Wjok?j!oAwP)Ybgn<=j0p2^zrbt&0dlzYP3hf?MqA>V z*>;RHFnv}K6idnsK0`HL^dXr7|LBCB$M;Jdrv+G6Rp(-o)~EZ&%5}O^#_nR0kOq3N zG_n63L@Q(+<A=kYTw7F~*<W>LJFZrso#(-5;;sF;de1!}Lr?O>CsG%e<Bzl2I^7t| zb%xrf=|G`oTL5XZ)|{KEsU_-awz1{Bq4%OR#3*bR6<wFGvz_g}%5eu-^{hGgvTd<H zh<Jv}OW19o&Xg%q&fGKF2-z?wCcl8$Z+dQvkkEnLF`&f7*?miwlj}?p=rPo{LvGFw z9_m%;Vwp~0-|ZBnjG~?&Lh!<6%t2Det3-+gc5e_ck5!s<q-hYu?<F}d_)gTEn&F-C z(L39<yP`>9ZUF+{ZLv!>qnFp5k3b>TBN!&5^C;LnVs;^V@irQ!Q?;EWH_D~u15J#T z@EVcfq{)H_z;=TC_{v7?#R6^xzd!gzQh%O2vCkg4HRiz|`XZhe_74t0KX6IWu^SW1 znF6*R7@7?N>Kp8ULA>i&Ac4t7!qFM<HaL$yB*wnp8~!VJnAgcMc6<@Xbfnevw-R{k z@gwZ&ts+rYi2zz#FwXmX(8zxLIV&BRkna+Gp?zRzq6u?-;2N}~_gQA^)IX(sW>`1< zBL-)N)RN>Vj_TV<HBYO%dJ)oE(^#Rj3AA~h86BQdHL4Vyo0WOKIPltin;zZSh)0f< z4C8e`E64bKi;yiUb8>KyLO_+?V%oJ9r`DkdYqPJjF4I1wJ&1S>)&d)sXRsibkB$NG zsKmB*@5L0iEO^ZuH~P`nR>Buv+gg&#Tn@r`MBcB|t$aZ#lrl{1n%Q;D)M)_r0H-ui z;>cU^Rt;{D6o-zRRwoyRt!aY~Z<pD#?{44U1}65%xwd>*9oB5fIpf4<EaV)=>VPh` zleiXq^)1`nrAfo%^mSRvyDA6;N6QBLRB{1+i`qA9H(&@F*uXJ+ZOq9vtM&URTG)Hq z9+Git*SA@<1V_J7Jih2X0mMV2Cep^1YIdqx_QqDn?8o@}oZJ2t9&Hi1wL915PA&73 zB*>Vx*8YZiL<t#tmIqNQ?bVdzq;QwCH)c42h)&#r7kA`_0Pg9nCmVDc$8D>swda>D zIkk}cd1Hk*711$`l4<m(S|jrr`CexRc2GyiL`rqhL6*!|iOotYF!^EcvqcW_+>#KV z-y?6MMOTdW%4%h)Nny6S+}+jV^KJzO851H}HwZmi2sta8PiF)Au3kY|$95G_TRzp6 zvBn(YUc@#VSwL{cb|;B1z=Q5=U|%hmum20|?aKVURx8k{B#Lplfe*<v^-5^PgU;1j zZ8Fd8I5s{kXj~W=ELc`ldo{Sp#iAwc3?6tq`|ycTfI|h3T>?t>j4kqXSH*p>IH0dD z8-wrZC)u4ZYW*ZXQ|HOtSe-GFQHb5`<B+yw_C{0;%o^KbBU)mUBGWonN?8Nm)sdsB zXZyqUaFHfFb=%t9)4sj3kDf=T-_x|ZF0`5W_CrH7_aG1mL^)XQsiuVJ0d!o~66EVi zUwPM^q6@wRNjC>`One@9Vh6%vG&T&zBkq_+;)<b^5Tb|rmN5Et@8{Z<=|2nUfz;nm zDhh4tIZ8DR-WhcZQIkMK?^g&nfKV(G1&aa|cq3Z8-{M^!MjkRMQ>U-lKbpAkBSA)g z^Xi(}3voa)?~sS^E7zoNtp;|x8{d?ZO)g4%ZkNwj0yW8)=5Ot97eYLJwb>nbb_huP z#6b2ud~^HgPe({vyguBc`yT$%>N#^}Ol9?Cba^r_vQIhev9va(Oo_8Zr4%y!+0To$ z^Yd4_z_TDDehekSamfnRT$iXvnx{4d{>s5~2?xBq#gdc8f|#9mMTHWY1CaG}@*48i zPA>D&J?TF(2dPF(2*%`sJX9h4*FxHww469r?d~b`@%x5RZ`ACa@*#FZW=CntdUou4 zOU&xd*3%2{yU6bDu_t$JIjR+{Bob#x(Sw~w{t|&M;~N{)ae^FvdhZJP)raiU@AAZp z76Ldr1*i!!*>&wcc*r2CYE9goa{CZevv8@$19m7*Hi8&WmH8z?fsXJB1B~PI9f9*4 zlxR^&O|VC@YIFg9OduaCSg-Xq!=a1#rN>JUtb;lDOdjXZ(bL<aGr=;XWNSLiRiy^S zecx!?IdSB4yOLcN?<+#QNNrV!1CMF|)q@zG2x>515q#PnH|v^m*(*4kOzO@$nK4O6 zm@!H<{hoY&vQnn=R2TK6!cB7T(VHa;n=J|-j)t1(jntQf8yhzsbGw;n?G_9Az@7Kt zE}aj+eb)u^-$|q^L7NPgDK_Tyd$~&KvtcIiPlP(PLhGeKm4UByAyr-VI`{%0q|j+; zV}_J9H}UpX*;WKDT_yCtNu^2cJ<VAX@M66K^(!u+r>S@IjL$s_E!QWD<LUxADtg2d zLzi^y=<2<Rio46ir;}9S4-u_wSIq-0yx74FCp<i{C8HwU`vGR$sa{O{MYN{VY{c8t zddcth>p0!Dx2CS^FtROsX6AGN8@;(vISPMbzhdYNO~Z25L`w;IyH=^Ax)+Ob=0rJX zyRirqBwaIX*5W(gRiPZzfv)Iz3qID5k4lSFnRY1hdtdz_a;YCmUC`#n;We{0R%)_a zGMVg6iq-pJ{_#se*})B;u7Q4A_H;(;*b2<}AC%J8<Z$d{NnkS|4+bzf)%V`GD`vC6 zqNm-}?pK~aJLHK|=AG59EO6w^ata#*YbdDD4$fPP3G<Of-<&-RuWbps`!b@(h^OD$ zcYcgjgUv-H?+3d9&GcjMqV}9kdd-tBc?<XJ`1_B3^_2~)cx9D5rp$`k;Gz!rweVM2 zTg{GFBvH(Wv%Nz4G3*oY{xIQG{?wXa5^X|Ay0wyF3MUqn-jx-MC#OFQf0<}v3=zQW z-Y1k*45yb*?JA*P7Iu4kvC|eP4RU-jlL53_N@1P1by*g&+*s@Nwb{V~8W6QIxH0sT zOIG506Azm?Cf|=YU*rr~{$sIvF=f@s=Y2{QMSGphqPaakUt$E54ltQmZU6bo?#!;= z>fo1JsuoOmR7O-t^uQ?MYyOU>3yVhAy(!?ORmc`rE}}%lwy>A-f<i=JF}mV>XA_FD zL-X{e)7~kcWn@!?j3o39e%%-=0uuY^jr{9h>Z_aKjH}ez?0`!D^7m@%n*;CVDM<>V z6MwjG2$@0W5LQ4+g(k@+5pVLP;)6l8tx>rigEL>N1FOJQ;2MRiuAR6GeZn9@#jbKd z?S=o;(=%%yLrZ||PO!2#Gb`cAk2GOT>-{SeX008)PgT8?pPhPpyn79CD}<iE?Yopl z-`*^*exCvanzRmU&P@qP6uo*}xNd!+X%{fRrs;v7z%l^n(%`;S5l0Fa^%k9Wn52Oc zI2t7>Q2oVl2}ftG4B7k0mT36gW0l!#<nBC7N#wCM2+XXK$CKU+X1IG0fq_WX|GWy( z)7leXaovl@pJFX`TWOiBzz>DkNqAMQg5Jsh@F?Ny=GIuuVfnhJkN)zCjPzGTv*HYf z{*l3=2jFUWdy1w1{zPU)0di#Q?(uh--g1y{mr-Yck5ml1Yq^F@a)3JXLu1>ep5Yq- zH_N@d%4QK=M=Nn)-6vJf^WVO#$(b^%@rQ8SuBi%4Y<`+~`j#X5v$S0&+*^&Qs{^-s z!HM#-FNE)J*4AGg&#dGJs1$K#Mtu2|!P1ahmjrbF<V3rRdNIuAX=ruQ8z;GQo4{u{ znga%8{QFb-3nS`_;9m2C+i{hG^v;6nrjh=hTBC-%#`#KLdh(nCj^HlmKT2h4eNlbR zo@Tb<vq*^wQ}oyJRjzW5TFOVV=!BjsOdDloWhF+Y^XG9txq%$+(-xZ00T4DY%pqPI zz<}q~K|LQc;n$!n^C=KUG=`m#cwU^5cdiX4w6Famb5$Mgixln(@(uJ0U3p9;>rLpu ztl^gW@Z^=(1eVjrKk2IvI(Szr6GGxxv<}k)e<bTx(mN!vFH^jiD***N%jHA+Ivuuq zPk=+=LmgYGTg!N@Gf>@$oVhvJ3JOGVc3!#~Tejeb2bn>a_4w0R=2U!N8KMiIfK^n> z1`#;+!^XP1iTv(dtzqahCC*y}M%Mf6;-5_KEuZ&c!l609SfO!8X$z5&4}Fk9QVk9~ zNc=O(W-fF!v43&hGt2d=3#)zZxi8uF6M$y6e#S=x9z?rw`5c}^XyTTDzFWmi_pI99 zO0{9njt!V)+Hh<w*)mVF$|6d2y75Dpq<X(IA6MhBiMjseOd@-o9MN|F!-)j*)plo{ zi+EYC+xy@Sto?r2_5za$VRAB7!Z_aGI1qOJiOBxJca3A;Qih>Yj`Gy&4G^;9hZn9p z6HMro0JZiXt!4`4q_zTU8&hmTLAogMR^txv*?H(Pie+le20EHEGBk+3>O1K?xa6`t zzU0dJFwd2Jxih8coq3tJ$P&i6TU(_{xtp_6uBmOl`eEsh|C^MQD=wuC^N`h~!&Ry= zo2Kg+r0weROpc&(pnk0n&S$m#rtMS6YSrgf`GEZ-NAzLNp*hfbvET<QAg8NyMfdx& zUXJhf5Z1SiJ8;fY*aw>@`>-n_(%hZP7+XH$#(sR^70R%iMs!!YrkO3Czy@mPpkXVt zlMO^hu1e>#i}E@UwGp|LY@B;_;NIl!dbF>!mk-ppuF&}A^;cfju;cTYH6M{D$V(6F z7rLp?CddE~FY=17X3>(hCRjc>xp+*1*z|9ySLMu%6BdR(u$TokBd0BSNt!3xGfCE^ z`v3i#9~neLw-^CgZJ`{c?ZcQFhBtu4o04>iJ|Utj?eVN7b$_vTW{icn8q87j#Do9h z5JMQOM%ULIDP1-X4qx)b`-hIxU){BZ3a)pw{slU(+bo0tx<ecthIXE<Cr9~v-s|n& zyju${i+Sy5@%dTgVNgj8AuMJ)@H90}>mXW&oKQM=s}c0H{c0Dn>D(;&zF3BfXW{kH zX?WjqkTtYf;3dotdCRiyS)0KE4E3NOOnqq4`O+LzPdKLCdAL8l#ZcJJz;=BtZ*RO% z1FEo%Pe&A?pay&}@!NF&`aQ=hPY}4&qJ`H=agjLOKW1@_sz3p$%vhz7S)?IyxqZ8p zbUlzoN(DuX2|;^ZrHgng{X5dv!Rjk8U;cX*uyi<F=sH#w@_yC+WW6))xaVRY$QOnS zAsm`SpribQD?K*0RZ~WkzIzZLY!i4x=4fqt_PSX2LyNh2^;-o@5_)m*34?j?$tAKn zC$acvPUvi+mxiA6eiE`6G*28nkFL%NoG%QX<}x?8BE*~^^pScn87NWd91?j3`>=K< z0+Za_4Q0KMf=Ny-c4O1_PE$LlN5crF$He{yn|W<Jwh7O)%fa-<ocXIGU@9d_2rO+R zX3iY2ppHlR!({kF>eL&u)0Cyc#~t;?)aFnv{v)1TP#Q2gc4R?)l*_~1X`kxw=OIF| zFDB<14xzAS(iGt{DFzG$_PPn8i$N2+>&8{ta#u6e7+OlkxNoXq((|aGi&^IFMUIHr z)wpS{mh2BO89xC$!U*W%YHluflU5If7gn#QbHEnyQ!yzoq;7vElemgS)ZEof{@CVp zz#*;Ly=Q@>TC82XNP6(Q?b$1-r+4E&`A!e-Suv{Jh>OzxVdH7W+%j23%?Z|AHu<a? z=Ja$;3wRq7mCHNxI8!irJ_HaE4ZXVnBh|nurtrNTnGfkG<sAVA^iUGzPNQOCynjdG z@;<RN3hJdwu_{w~zOfelf1j)m=8}U<ZyE|x+zoOEe@<wR462yP>zipMi-C--7ODxU z>?5_;FNZSPqP0Hnn@xH5O7hhRRxQyC2t0KzovnMY9k~d1!QJ0NzmUnaBYq_rFLDP5 zPq015D=yP}|IGo&W(%k6+dq`eAu9#h<K@h`=b9_loXwB-WvMjXC-rPn?|b^~Q!Rp6 z)78%l;O&zsqK5r}xphSZhrW=|)Y1A08g-+^(zT*kA5;nAYs=@|=u%WzH_#ILpS#BD zCXSP#W3+mFByVY>uF}&Ac+c&Qi4}u3#aEubn8-T!+XbGbWPg6YYG=-t`D3d9mE!n% z$w#{8qn=ds!krTYOR2H&h32}>=MaN@N)JI}rA(+ApG6_R&Gnm2?n7e*p4b4_==8GP zF4ILDue`I}0%h!G-i=SwnMkTUP-n#O-2(@QO7F8y*K62>5`06f2XBz!Ijy!#)?6ma zrdfW{)WSwlq?@WKQl*<!R7(_1YG^byG~<+DZ2cl+F&Z;dRd-^dfBS#cC$zw#+U>>a z-YJnk7gvSTv-;Yr@K$doJLsk8Ebh<yohn9+Ws-{ybqfB7+;Y#BDy*`FMX2Q}%D7W& zt*{wm>du8$^a?z*92pAGo6UOfp-b%*=y0x?+t+8Iedv&xRjx(rB`EtCq0d+;Nf=@* zFVwq>{aFnjw-Q(fSR-)lNkF~Q&^0uXF%kjO*x;kD0vYS7T_y+Hf=vQnhJ|CU;<#*) zI_K*eZ9EqP0XlW^ry=0Y@MO*QFmet7t%b_YUqf%^RaQnud(SQd1*i1k+X}&$D220v z@67BAmd0K2@~_grH}qd2{zMK_8`_m)=4}FfK&6iKr9ryFiBzO9fM51utF*DsyWK>h zh-*6?@`AxS*f2DFXy2uiYA^21vhuudUMuOtYyQ)`2M<x<<%@Y^2{!v%KV#mkgqrd8 zSxMf?Lms{Q&wTP1o$KnGzW&{ebqp03+aLD^Pl(-=uEJEZJv|cys;7CK<Ee^O(P~$t zTF72BkX295$FB(jVy_Svr70Om+ADE|Ni_!^XXq0o_Q`APg}S!5VJbT+eCAt&w9r2x zN%+>rxVB=8=rT`0i$Mn2QNH-ZdC~kEbmi7Mmdsp7%h1M{n3c-x`Mq66stWxA5_GMl z@%wyyJ&-k!+)Q5=GIOR-ErxzGWg4oCE~VwXDO=N*K^6_P(F;8Ob1;9(rOZo}MC{(> zGy5K)RA2*3PdQz$_LYV-b>!WbCta;jGV&xK8C*D1KhMqfVrK}Z${uL#_I5iDk(TX6 z)_Qd!D&CCW>fZM_oee7RI{jeZ6Zf97T2{~EX$p2RELwj1zHcILwPP`F&AzL^@I&)t zv6u?>%C~?UGs>M=OMr4@V<OtI;)yfGt)pIEQ#E!P=0d4V6`Y34Lq9%m53F10YzQQu z4S#55dhk-=B}hV0PMg;JS?~4nZT7CVPNzrEGjtZ&5N)4YR-u`_+?^(zY+UBD+_&vu zy<y*D#Qri<lm}>0wzrlQ^VC27S2HcDP*kn^M-K>l5wWU1%%mMu&+Gcuv9|p}xeyZV zUY!DAt<MvL>MZhkQQ_5%Jlo-SiWN1%T6P7TNe8T>DS9$-E9+eJM#jEwLmouS+3e3l znmeCUqNT;1n^+P@CP6VA)=P3$lRT-v!;gahn^cpr!(T<7x`0n`s=wdfKkwsKr-kqz zPXH~P1-m;D<|mC$^{3R9ipgyox5@5eFCE>VWUJ#iOqE~hR!D5~R1G3-9Q<k_+|>;< zobhNeo-`vYtGlhXJ1k{r@yvX;11Pr_>rG+f1;5gkB&?d)gj1-Y>CwG8jjh)AWLN%z z_8kgeU&TDnoGX;{x=HeeJK85k4W)tQw*ZnK4$79X1QS*0trot|v_~)mD?6xDCQ7l3 zj1va+eh`^ziy;|p1E$)t>o7B_AuEhEUq3jSAsL)32ra<GG`&aE?P<gm3ulEWFS$Gm zrNfX~jWZZCx}0psKGFB79}NPNJm>$Gozc&W8^yDjd5_$xEmLJ6b8K`iV@P>;4N6Rp zhVo@NZ(JC-5L0K!B^DMT-nC!HB1%0MgLZ~62fJPw-`waLO<FRN%iFrleT<VdAh+Sv zYA@l|^$nEi?Ru8?;HLcRpenCFAKMzG8*^z2iiOP2%zisaU3Yw&4{LxT*eRvc4PH!; zYF@qiVgRpy&Dwii)vl;}C{J}Q9`@SXMTiQuhG^Z?+PL9o!VhRY_ZU>)?6wqR<)P8> zyc}paq4diuIU^?>B_DlGEd1#H9HFME+*Ao~evQK3p`l%-@1cE@Wg>e7Nq}X6tjc?@ zv<_#)Q_6Qn`3-Kd^Hlee=jj*9HVYQndKDbCE5UIN3I1mGELe}!%D>jez^judeJ-{< z6c&1DVW;*Sv6ep$LML|wT)*Y4vX`}OgxLfaA6|w?%!n-wnP2*)Unr=K52eUsB}tgR z-l|?Flb`h_{gn0HJg$+<ss37ay-12{iwL<%5V~O3qiSVU_r1@T&0Ac(_oXeVlWFl( zk-Xi~LaiXVo7<x{MbeAF0DPL(biz_e@p(P3BZfY5{Ve%SDC3YMCvmdJZ2*}&YM4q% zP&0eZAlCSI)(~k<dX%Jx=`$s5q-mhKv%K@<TKhD~(5>>Lc`U2G-%al>jYm-5OP5FR z(7AHX7MHj|A-D3ANj>WkRCnY6qB-Op(|Oz=KJL#)am`h++CX#V4&agBi`HW-y>m!+ zfpL2e<w0Cl7JqMWLMkW@hJ3NIy%u+7v>5pLoHx&Q<jxkAM1U#%ZP(U3mzmH2ueEr; zRu;4{BD$pjYzW(FX`wMcKvdP+w2v*6>vc9@C-AVvd&q9F!+u<LiqP$o^8x724EBm} ztz@2_aNY_u;&4|$*v5wCa=)t1BK${xx3}e5i1#Wit7&aENfq`FFGA4?lDKP%&C+4_ z_~O2x{<k6N-$4q#pZ4?n-%l4PQVm+N7sJHx2n7<D+V6T*iS=5M)ubU;^vMRM76x3( zT7B3|$09JOKF^MK`zPq2Q@pCxT!F>Q#vIAV&4)?DT#W!z&^(#F^gREdmS@;yCVfNk zexBIoN))|)-|dsdD+7>~4mQ)lyj{^~2vEL6%>>`+uv})?Jt`1@`<t6@4@GTUEcE{T zyIv?7N?X+im`pZsi}e!zx<6bqKU;5}IAh1Vk8)Ah;%Q^eV`JfLozp*sw7}*v`8YZ~ z0Q|~F7#JBHgcCjK*ye*?X$7MTnma<gi=ewbmHSc&YUY8)M;w8UjXet?tezz&3)*Il zO_H`C1PoSptZwCld>g{L-z_1qDLA#0<cOW`Ly0YwUH~Qzz)pvz&G5B``Fw&A==s)c zkm2ve%+P)%oL2wsn$pm42EKu9O}fS@A+!+Sg_a9c<PDg^PT_tTgn<V)BnU>1nj~R7 zQ`_3OF-sZVP6>n2daNUH@Fb*kcr#c6sa^gp#Bp6ZA`uq=IzS5_1g1<}{{?~D6D0Zl zVyQma{Zo^>nipZwSx#+N0`#sz;Am0p1!scBlVw7xR<Hr6y`nQtG$L51I+|Qm2Ec^i zQm0%e$GoS45@&U=xSp%&`i)m>L$|LsggR#!Plv5E)~Xh0@92l(^$7kMx96h<<dza; z@X0C-mits@s3)l-!t+a>=zURAE&a{6C;K5)XX}BZT-DV;K-Jg*O(>)!XPOK55?NUc zTKnsH<{p%(!;~Qfv)IdUTH+!CV5$qa4kq(|Q^bOr)4nQUlKTAN7Y6@wqim#<Mk6-t z=e4uco4cL0nSy<gv&c{gG4CL>uS!gm%;PY6ujJA|(@+0<GuAr0FoU2eKf#4$@&@sY zI*o)DHpdx7BpDxLGUM8|bh@{A=}5?|D*JPX`l&AnVqXWf<O=_@2O9aj%HL`gh^jW7 zw%HnW8p-n6;284zf*K=CR;~%yp+W-pC{Ahw_3l-7DUHKj+F{k%;j-!52(!F{#o|ym z3(%q{B9KR-FhRP~zTXx!t3vo^mo@gR)=S<~w+63JN;|xA2(w7^ZoNzZ^Wz((qu)37 zXiLsef#mqmnVU-h3#O$=>bWrkP@#Ip2xVG$pmpBT3=#z=m2r!n*?~tC)~RHBeFC@H zVP4yP$$4@?1#%&xq|H9xiXc7gS_FE2Hi`KQJX2I#wzcXVEx}p=r8OFR318jb<@5Mg z9}{7uQPDd>m?b~89!8AE$Fd}BvwZ3ezH$k5ZByY=eOn+j=#7}+8;fK}2rGcAF){5c zCDXS?wC0H(YX;P2Gd&kPgKQ@xTof?DIA86$R`kOK{ZBHToFTIV@P)UqrMl*Em;37a zqf76geClwFQieK~aIuw5Z<t7vHRFUBaLlM@_xovw&6&kKyO$Dmjdf~$@ixvDGt&Fq z&q+D73ry0P5{49lZ-4S*ExlQ*CD<-KP+savMzxY@so-@IHq`a5#o=k`d-+usgf~Q- zQ@m!zq&ukP{<QIzI^QyAe+On($~4#h=J(%uAFK=YGOG=Y{2rd%ij#gMtnO+!Cp+}l ze1>tGETb_UCFRZ~QQ<T$ZM(U)P@v9oTq40&e+Mva!FO$NB;9eHjT74%DIbnZ{tFu% zdwZ{=-R=eMmJ0Vh1!_$VyZV-II#r-U!#1t*tai<kf;~u&_zj+W)2zjA3p3|Nt5XII zs$38GuGo8Wv*fEa^IW=3wrU0}yr$CGHw}M;s)?CUo2X}sBE)t5^iZ~}L5zkzh6QVU zlfi%?k#=Q#M9YZR*0$nn*)35gi)ddSkt_rC?yUOU9)Z11<e>9|#;j4(U87;OV*cFc zSGDr4ueOpnf3YT&yo+TSOA>|B&~3WdB28zr(K3^^)eh8xw5HKn$(+N=jYpMrc7wl1 zytAcg*P|7{+oC1}=w0Sw26*>o$#aI@D*y-%xMkn(#~gXl5!JP7P5Oy^9P(K$hQZB= zRAHJ<oN=KZ?E0TPWm$>T_dA0z@$R4F^VoRYUFPe0re00%P_h{R5RiYVWU8@NLCvT4 zx39*$&})r>K9#X|Lf00-?4HplywS&X>>U5Q4yU@4yl~Zi*x_FjOAbkm?%luXxfuqG zgnEVrbS&=-w;_C|c&C=XHmm|)p7sSz8tFcn&@J&{^`^0vjh^{|fkRQatr2m(KoPUq z#Fh%3$H1A>lz3?DKwF0*zA_Lb^4hJMxT}%9^`Rr~!#B3nR4B=X^>U?(6YkGT>*kRN zKe_;H3C5{&qVUz$wqEE@JJptW5?`Re$M3P{;frpYOPR!{5tP!RPr*?^6(KogWs~tv zzDt?kxSBxyWI!eVg?m{luCJYLPsXaS){vMgb481j={=``F?3oCa1%`YgOFW)h_YwP zB@6U@4li5mgy%?v=fu9X`z7i0?R;zSe-t2e@m5BMHTBAyF@PS%O7{)I$p}<t`nGk7 z03xNR7KsuR-v!M((V&Yj5uLP$a}g7#z7l(dNmA1BhS(pkQrE^5oFp1*+Ccf&#m79v z!XM848yATCR5c7`6Yg;n&RS)tHZ`}TpI5P1M?2BqT`x<p1`?(5=}U49Odad{tJq?` zQvakj=h?`~ATvE1_ePo&GeFo03&|wG`cr$kf$ksZYPJE-t$e1xc{g@Bp^@>y*3Frj z-d2iA!J77|<(NR)6GOh=zN;D{3k41a_A<+oy^-f|JDrX%>xJ#ktG^#)%6D{M6?tI1 z<BPQu<>*(<LrL3ZLfplk9@&UAxObCXD(0lT9I{UCC-kU%vt5yy1~d;gKwQCue}8!u zrgXFnVvRkVYbnHLqZ~qCdTd`rrxAVE`{;{(e;|G{tWqUEa?8W63aru_G^R3?275VT z{O<>Z)I9twZs^Vs(u;l5<}Eo@B(9OV&~(R%WB+VwCZbul{@~PGzn9*3OSdmY1MqA7 zuvH;`j4q9-1+C!@fToyjlv*-5b)lEZ<Fht*s=d=f18DkooC-%Gi63=1ueSA~o{Ol| zK77U3807(W2J7zOB%A%VSuK_2>kVk4wT6)O5RI?-Yv<05>P^@Xm%)mojH$r3{8uJ@ z7Z@?sGI`lXtQ`2m(q)%IhO5uEy<+J6q{+@2n&WvY&e;j@DV#EN<1R1svht&5H7pcw z4d}Pi^=aFDWaEdLLi>25hVe|nD>{8<6eMkigN<qZO*<glwtNuNAq^R3_2i1&3JJV_ zKa{dr7st^W=dQuDMJn_#QI^WcINx%A`1e{x+W%?mJD{4%x__N<M)^c#6dO`9ihv4& zNC`EWQHDsD8W0EqN)Nq5azDorRGJtt(gzTbmWY%<C{Zbq-iZ((1EGbG#Lz+rB#)W@ zd+-0g_ug6StW)mZzkAME_pEi-+57AtvEcRm_gPAVJS^npQfLfnb55gt`nDb})20rT z2pmGH$&p`K$?{fP$Da%TtS;5U@xJ!Ejpkd1fZgjiJC$}>Hi9pcYLMZsojKU7umqHc z2gQis=#8ntmc5B6U<2>!Yq^0+zI1ko3vBOwjsASq(p}fmYXiYahjlToA}m8LE+XBC zH$}4&H&{K3N7K;r=_5+&_V&A%w^6{NSF?8hGpO6{!jNDfo|d-(jb{V_snTuiu4a+D z+U^xRh*vPc?8fCC6lVNs90B_N<~z4mrZQ=p0xT^CMF(>dahm=}*@_TQFhxmUwOX#T zJkKkN+1*~t><(2q&T@MWaoYVhBHv^%fk`a3O5i@8k27)Z9NX96F99safOAXDTMN?Y zokUt&6o*%k-4NeOPH@BGzwf6!3EU$zOmt-y=`K`8Z+tuE-tbh}?nY-5TGO!lVu5@j zwnZ<Lj`;H`!0+|$Ez|P$1U6y1^&f8KM4`w*g8k~<1@AllV@mzlS}QiHyV6stX&1t8 zS7IV3l)$1d4yacEC3yuyrmUwNwL<eJF!cw36EAUA+iOkhvFitHq^UEw1D?zHT`GF@ z7-|IBoF5<RC0*MYu#6nup`Ejzc(hE+322rBx-02{Un)76Kx#d1k!heV<EG{!Yu0{! zAfL43sCP>rVUT??_l=B-t5+a1l;#N)mS&~~IIyCDX`Ul|bot1#;2eFhuRw~DvW`z( zcMcQoaruMEy=kfJg5=6)JrH`dUa$&5lBb@(QYzbJ7)iY*v%>|KMa~&zve7^1k?8fq zO7xI;CFzdGmXQ6kW!X5Hd10q~vETxjg>m-_>Sd)k_wa6XO;(e;7)R;4Ick+7m9yL= zz)WJ6iwa9yTt7TM?Ww_W+x_d4wf^Yd_Q%E)mU<gg9K-)Qzr&o3qdROtpHu%-os3L@ zbVm!o*F{}HGSK1&@uOR)nbsuejN#y4hBZI7RCvDZPKGSYkCMni<4Qr%t0#t)28By= z*@A}wF>cSRgyB)`(^PaBvKT&p_hB>eM~;Zlof|N&RFmJq`@<XR5>}850u67OOhs8a zxj|Z36PRXn#P9pL91K@UwpvL*+*+t9o7z4`#c9y5;nTP)gE4TPJF~K~bRzSIlZb}j zAx$cS1*UTwqGf0y0jWj{lsSu+=-*wKD34_pA#K)W_H4Hm%QEnCf)@lOzzt;!_-QR8 z!cPS4^ZnoHmrq%GSSg*R9S5Hn6c+MJgEq=u>E_0iPMwAR@*S~4ZR?sAeL^ukq)S7F zFtdpb*lX~Kv_mD0EI-`NgGO6`l$XBwVTaIr*LcS+-DiH@%}#&uYAc4GjR`S)j%@xi zfGt~kp`qoZ7&dO=q3M(fq^5b+&S=#R`x*zOm&uThD8VFCnDrI|&oF<ULQHo2*<q98 z>8k1B>QjV>lI11*9`e+T40q*@n2`2#i>3di7DHDbk9N79++RkT*U7^<SD9@+^P+~Z z*rzeMVK+}OO@x1DD??2%Rv}%BU+I=tbGr1Tm*8V**5;ZQ7q-oSx!ba5!~DD<r(D@j zk~_A=;zV0oeK3cf!Y^s&#N4fR#aFD|s@&}E>%)rciiONidsv={k7A9o@hXmnbL0@; z-4uyjg`SZ~0B*Dj--rWLZy?-aJg-~gmKkQWr4N26=AuJQ%4on{D+#S_E$J6H-U1uY z$$G+YvHYN#0+=gD)Z7X%ZMEk8HsIlWjvA@S56_Nm@UYZm3Uz7)_Z~(-`51BUe0vb{ zQ(dOZnL^ceq|Qp~{6(*d=G*$9(<ys*f<IIZ){Pn!Xlh6oy4WqBd{_1|9c`h(PXE=V zfmSLOv6?A6(4FhE{-?NxWa_O_*E+ROZNVAW&eG)4dZ2lFdGi~NL0F0?Xl~mpOPyz= zG$3uS^XMMTFKIOS1A}^mOE=|d{9zX-q5dSAC-2Lr2v$pTJiOuR&{sDgoj;?|26|;x zHzNkFUaE*HR4tabR!IEh<8qqnIaDCrUpS^Q7B2X=i?UiKbNgs|;CwwqlZj#4^ec># zch&!Ozlr59W`S$o$__no!w_6CD<sbtdsbT2z7g+A^0Ra09S(|sJH8O;LL^tSc6M$Q zSyJqdQ?IH;gj#0lWJAX4Nw37^Npj;lXS!9#V(LyS#8d<#Rnl=DsmHMC-;13A3=)4x z=x35N4L=dMPqvJu7USLYGOW&|)?SWnRAtmA_C(_#kt(a58YhW+tNh~icVkb5a4hWW z=C0dJ{Vmf1mRjWKR<q#Rz&1y!<1jQqu*7_W!ww8AZ=|%Y7Fz4|gB3_%DU#x?BE&*q z(vW^lb49jtzbU-XZ#ClVU>l%bKt+yD+DwCTT@7WU8R6Ihh`uVw%Ffjwub5ns6p?&{ z(bq}3NT2D?k}QK4<#~FWq77~~j!DZ1s88a**AWR#B)3Ki&r4h$ZeU18SeA|!b8D=| zwoLu7*{_;U9NF+)9?|MEZY>P7#E!1j^0_}3PA&w*yWEr;e2qLy0^aVMbowegQ?l&Z z(3iRANd?gjP}WLN!r=W{iz+2P?aHQN&E%J*rdll=X6NS2x*_G8b&kbq;D==NWK6}5 z3%}m*cJuQ6-G{X?fnYC7@HJ^O;%4keW!njLC$#HnoB=}t;{uWbx3Z+r18mM;Pxc;c z5&bJthOcI86s2_mOq;fc>WM?iiDr~jy}(+3p{nhewZ3Y~n-jX@MqAOD*JE<q-%e<+ zWx1-cmTIK6XWoGam?IWJ8PEY$^YnLN=uD9L1w-4CXreXbIty*_$PP?-)^h9mll4Ey zKi%LAGdt_=s<Q@iRVcq47y{$Q-*?+LTMdIqP76i?q~AQiHF32TI!Y67!n03|%H?!< z^%h{b`X>W-YX*X{r3VSFSP-JT_u5^hM3^TZ#i{G@kmTwixHre9-N-qJiZs}VNeW1n zmviPb_v=P6D8N=gTyo6W1yvT8plyjt=(Phr<b^w1JD|dM+p&+%bPI=9(n~KI+SHAG zReZ9%4^;nqQZL-Ow7(|@#0aHxe+v24;oA|xj1xX90B)StiIlJX1I<q-=UvNp&BZT5 z<Vfa2OA06j?cb2uNr`m>i?Mt|-gq&nH=yhMmc6>u$)>@;%Jov^S#ZLW=y(1GmmlgI zn$3T7>((IpuIzd?z(0Fl{Qhm~$#<&*70$`Fvq13K3Kw-)Y2kAp^wwyq&sC1IX$<_D z%Z8+0HbSVr8~=#@E<KAN!!te8um}qvE{)vA6nwd5tA5>uGPj*2sGE?q#4oI_=WK0E zE<IG4J*Ai0kQy7Y$J~8~NPhJ)Rd0syr8I?kg__$0K0=lUPZV!ER!rSpy0=*NYeVI= zYGAu~ezkD3dm2FQG4CgT3B^&m(mvF2Au~CIm_pw$VZ*=L#j$yrll2c#ou%CjMSE@! zN`2aiV&8TQN2yCszcYR!=T=X|(Iz(h?N_toZg5%GXGltUX=Poo0cV-VN_G!uCIS)p zZ2Op(ci{LWn1`a2biYriqNm-pw9+JCQd8S@Z#sE)k7N#oLkjI~L#vl2rOM#Dx}@$f z1nf#;q+LBLG<N?Ttqyh&x8VNOL_NeK6G#S7>0a-zwv1Qdn6TzufF_cG4|YR693d?J z)M-$0o?7RqMhKA&wo4@hP#cVT9w?-WU6y^qZL4Vc4NXO!2}BEDYlJa`z(cPZW!tk! zE6qe_)1cn08dk-;^%VE1LW2SSYXtEb@3rTRFPB6J6I7JZ`j5NzGe-LR`;62JXm9=G z8!qt?416gY>=;T>S$;m>@0s%&wp^h-@#RbE4L6yK8AIX4NcCwEZd22H`Dsj6+6{|5 ziTLcXT#2+yMOlAi!}D(Pz-q>w99imE9a4`Z!&v$7iu#bP%|b^9YI#(apI}7Wv0Uyy zPoeVd;L5{>{9Y?6>qF#H)ZNydg0$~!M77r_R&MpRrpvqx`tP}|Cq9eIHTRikfPNCg zC&LNS$_aN*!j3#yeEKV<7%8_f6h4}<6MZkyi<ByBoIJW-Q(RUIh$k|F39EH6$kj4B zw|{YCc#ylvck#bC^=#=_SOFXKEPv{J+DPS6nAb@@`$a=X1Np%m0q+GN>ar6?cW1h) zna@9H!mOQ+79BM^`WxP&Om}iyw0=9z0A)s+YP$Ju0JQUY8n+8g;+!u=+Q$9$(S@}3 zc~{+f^lip#BJKu&Gy+9%C~x&(Me*w|cNL3@B_tInsOVWMq^>ys4Ronq<vnstF9VMC zy-K@txsvhT!C1lKVwY7%i%L>8RKfNK&!b_^<GF)KHsoG){#RF^je1G5RlarZa0n?_ z-$0$BKl(4S-kIv<p+V9${aBGAiyd1W|G}|1Qq+kXi3Fr(w`c)|N>Yjleoi>bxBB|> zR_c#^&#knj)Q-^a5$KK&Ebq*8FkaCuNZnu5pPiVDKbk{%9n}3qv7a~kcs8|DkLRbS z2dXa$jkOr9EWWvp_$nBFs?jPe)Qc$Gw5!!KMJ5hU(^RS0>Qs*BIcnBq^3IjXQte1O z--^s%0j}jIUVI|cb~Ac(zITLCVljoxnH7!<*W9vi3Y3H%cw=fDg!l2bGzxvQ&B_Xz zQk;D>*tdyfzm6uA>b{xxEmCLP7&kSS<LlOOJp+otoLjh!xxI1&@0$0Ky-ck>3&U(& z&*)gpy~sn1q2)@9e&k*XrYW9m-fUK5l?r|iO!LPo4~L)~m%*|G$>BOdzyLnh?1_$C zU~dPwUR~9_{O!gS<fgS8TvznOyJx$;o69<N?lmDd&43(o$nIm#pUU5B)Q3%8ReL=G zuSb6Jqg_PZ+QIkC`Pn_|vu*@y-{~K@*_N<*avPhLCxQcz8T8(OP-z)<lx&q-0CE7f zIyc0}M?OEk!-sP!X86(rjVZ$0dasu}@^VE3nTEc?!y!Jwis1cEO|7h_AJS!8ha;dw zru;R}z&6(PoYik~b&rxTsM0y^_U&8?mkM<FO$y?wR+tkM^>c%(Qe6Lo`jmvSvI#nJ zKo%qy`-rny%*uNDt6sJP&jDnn#stRs`%$X>Cr+Le%@R7C<_G2SM2@w^QW<+Cyn#gr zo1o>9!V+<$-j4XV^Pq}~3=8PYkFK=#8BGmaCO=2sZ1aqIun0XJL6h`azFMG{9O2$n zag^2VXmxJjZDh-kaVuHPWrY`cgS9vONr0ZKxuZlIQeA$%%K~=VIXmniK!hjls|kIY zr`anvET;DB!<O4ND}m+NxPsVl1|iUpS54X;6SeXTtxy|qA(zg|5*|=P!i3+HID3=e z_=DTByFo4M`y`Q?aE;m48aTMdHrzZ6CUBcC`ZCr=<|JHq!Z`{BlUv)oRsm=qtG3fa zQqP4(DFV|Hki9lQ9E9G(dbUofx(i8PUghAF><tUftzJkLyuA+|ZL%7{)rPs<&k}$@ z(r*VByk3VE>}~Mkd4M1vAbq$9%{WK8s*|<ClQZP?P(*n_s1>~7u8<_EP6G)tf%?d0 z+8#KnRlpHWZuf=iHEZ&MfL-Ycw*KbRG{}}&n(zq_B&u0*Gb%91mfL;oXf@?%6?wx@ z4mHxUpfTPI?BzlCSo>H}?6?~QBMk(wQ6&Cc*z!hpi^b<}5`^sZI7rmsG8<(ed~>Qf zfzQvOV`T%;p$+T-nxF!|I)W*aAY;(-Rfs))eLZT_+axM;9sgs-dK`#^PX@(NJ%a$j z7iYORDm{(nGN7;)zYj*)n(}al!sr(?NKCIYn?K#pGuoZyhF4b3@IJ$h;{5%~h~6Pl zNUH`9I)T_mj%xL-93&mA%k<tuiqdz)LaUJ}3d$AC+J!hZtIgClY-6A(lV%KrfhNck z7iy-Jc(c^4ZjS4Sk`+3z0kG-;XZmc~$@EoM+hevkuXs&D4feF(xm$Dhdr+Yq`o>1g zFx%mY$$mZ8b`88~623Gw<yYk{{W$^B&FprDtT&LmP#+pzQ!s>%*bkh6K*Mg-Y5#s7 zVu*iPWR)Dk+1W*=j6jRtDG1WC;>F|IS9Y%i5pXyw8{*D}nj4U$c1gPZtrZaoM_7dx zBoka+3j(GiEd&pz|5CPLbDlbTWrNQ5KXe@&7J@bhGB_RZ+~+%V8j-a<tRW6Plh|F5 z`mc@j;Jp`uZ_Hw7MX|C#9;#(6hkc*1J`(AQK3}4FYS`pm(X3Kf>lcI5P4=$a6Z>cQ zP<!~3!RfpaI6#K?x#PfqA_3fYeg)1ID3L>z$yRJpIYkB(uT3Vv92>)%p;<Mh@u#f# zOlRSQOF?eDc(?uRa9vO`M}D8s<=c6Od+!UOG!s8aNA*uXcYy><eT8qCDJ_Ht!SnY# z;<K*OH<!Mu<-Sv$Z5`U%;Ph^>fw~2?Y0;N<0U%-UO?;WBWhT+Z;5)}HUe1hn?fc7U z4-!*HnUw>1Um7sNLW>OFILNK7Z!`xa29r_JU=z3@e5S#N)lF+v`b<xXA%6W>!i&Fp zKyCm*yl5{q(~j2lzjL9!_7d2t39WRFAvjk&?z6p=125h+JRqdHQ<+-T%A8G7XuEL) zXm=f~vl`)))@No0V)E1H&j$!QcCV!N_gYp9BC@j}PE<=&=qR(mw;*F{!8Hv~Q7dZt zdz(yc7PjOsfK(=fAH4KOib+!vL%3ag+}L%)_PMEkE^^V;pM<T%mAJx2n!QN4Wa&l( zxjhGW8@Z7somIWh#)Rzc?)c#VJ8eZ^o@v6y=$OhK9BR~V+^xzzzaa=pyjKDj(z)?6 zFQ6@@vo5F-?h2%{B+!NBFYJ4u1v=aZ9=5?xh3pK%-h!v2cD_BoP*xy4J_xvX!;Zzo z-%Q&*x*;gxS+f1t*iq*Ewywqk?j6^-QNfwwNSxcr^?8&c`J`@K9Pkts*R^4*RVF{> z)-<xS){R&**i|!(`(V!3_oj}9{GfHWKqq5rtf9a#jyS;Ddzz<YMUE!>N2Rjks#KTu zb}Ct&da(I4NBsTgH)a@YVP_+rJJ0yi&(odw1Pu%-f8KW*A(I()rAs$Mb+w3!jP4cf zs`Oi{_lO6z%>TO{2%`-};xs&Uon(y1RbPi_n9ej7I}_Rx;*>M0hqBKol)S$W_O1F& z`ZoS;v-_kRGJE#saLTJ>W+1Tb+t2J9fn>Ldfk+iy#Erz5yjbneeDC7)WjpD1{f1o~ zSwYE8iSWpf`#_ahx6jakqIL&N`O7(rpm*_y6$?cA&i&zl5~ULSn$S<oHHmo$pn)EL zQ<uJ5wvTKs6-f3j*P0r;!UPJhn-!spF_G}m2)OF(5I;T4q|qjGQX;IoW3W3J?z&sv zV^dI@)}_4C^C+rQqoDHzbY^+)S?AA)kS6wf$W-MNLN8h~a&A9dCBH6j)nM_eW)q^N z=iAP(%}aoOYCgNjlm8Vg+-lBkkOsT@%>-u<)K<x$DecM{-0oKPS3!A7L5u98I`vVD z4(iVNOM!YTXR?1u4pyNh5A_;mR(QFg3{IGCHD(ue$1uV%yB5?mMy1{>{>iZmu$ENl z`3b^tI)pg)SfZ|X_^zo%jtxqa?GeD-XwnccfQ5mT`DdBJBt|ih=&nB?rKU>{xi)+5 z$zf5bm-dI?HdIAHpqc)L{qs|?Gm%$3A~G^F<sA~5!%VId6er4Jk#CQPOU1vO{~dG7 z{rLR+j?86kU-?&^nn%VTI~Ohd@>1>#=l+M{$!W(0GOU~CPD!-!R#aYHyoa6Jma-EG zZvh*xtk)}%^t15yMs<G#2WZ?MFt<M*R&wf|3!rtre9<~KSK3MU%y_)C!}-gT{-&Bl z^iXLjK|}8vmThG(RPHu^25z|$@S0FKq9EH`xTpIj{u9U?Vy6fNn|9`IVxI_HrXgo3 zXU5~Gel_$P?b>kvB2C==fO)+UjmMHPQ2?1Z-sK^UC9`tVQm;o;HjD)p*Pl*>Q5A*@ zg5)a=DREb?+ad4kXum6djHWL5nkA0;j=sz*n;89Cn(6Hqh!E!Xwj%dGzk#~VrXDYY zsyLi1GS0`O72qHD6=Kg-Je`Tj-T_HE4BI|hPb{7pQ7EJ=WxGC`M(n+Ch`UL;0|Z{l zw|c2|xtIEBjvHP<(T~0bPCr+A{!)Z(pq>h-5{zJKSBJ`avG;bmBxaV99k*ZGN{eiy z>Ay<1^eSZS#%z7Lw9W9<U8mK(ayUnAyjEmkrNX1e;s)yD_9I_{&GsAHh~nu^Q-<NV z)HPzBRZ^nED0!r*u~z-ok*)Q4;Aat&pl<C%KG*y&SbKACW9sM7!Jcr|o34p*5?Yc# zrVYW|b-SK6vv0i~ZBL(dD~oMP%@JcZDru(1Qm-awBip+4DEVnSGrsB{=o1}xVOQ|# z<t#GldHS<^WdCDWN~${oyxXe1%EHB}4=~NgjZMmBGm9~vi{)km4~GC_6-10O@r{OO zb+gBtHtyL<2>kjQzdy_Bv{AYK_dQXKTgM&-yb1N8zftb7!RS9M?B9yyMU`e=^|RU7 zFDEJK`2gST_&}|KuOhhNsTWi`JCKg~<<yMTZI6e>AhLu1`yiNppTclVYlDne{W#yJ z6nuVZe@5IoY^M7|1PXZR+<S0j$kKe%>`kB6l-4*m|AL9mTsHh;1CPn?Q$iosx)nCT za9?{p%DAdFHy01~kd!?Q>$7%u#7=9s#WZwoD#h;4b-&Sz^8VwiYrT4B@CDTA^Ib-* zU!#8$FU~V^zMgVq=BbA3#D=$c13Z4NOuNr2B&mhlcm{NAa{2^ts^=>HhjB@ZRd59H z&1~+*o!XRNr8|(4(fVDI8K2*%UJUSH>0TU<W!%H88ko$!>&y$T341Ym7-^JUY^`^` z$s%L%=DrM9q5itlaM0G(`y*6jumF_0{7x2TOb^-Z6MoG-u9sr7iKX8wchi2DUKN<~ z>*$301yQyRsP^R)K%|V-{0UMUCqIc#@VZu_M_i6Kg1%nWGrpDwy>E=HlCJ66WJ(x0 zQKdJV@nssQSIRISPucrfd>w@_=M(ufnn&a@yBBzI>-<FS%M8b4`Ho=qb2JR&fk`Ps z)=)Cd4QY$J;C=zlvtv|S<JtB}F-4xbaf{KryN&zTBYy?#b#II&;W=UWyWCFT+vkEf zSEwOcGf)4_jE>IPY6<Mdm7J%)=3YvYULk}<{~9m_D?BkANUfdJSze?JWVV2H+msA5 zRvXV3-A_&R>6xc#jZ(qS?$l&h!X)ZvJmowziOW~pilfY;`Ie{nAzvGyM1{-zub1^a z9B;@Dax?)0$sp}4)gJkd<)rs7CAJzObiTFICoAJ*KR<Li7Q5f6SV?xGUca@DxYS08 ziu>zn^vQ5)$>H$R*<<-85rHajf9kUiw&C>-RI-%zT{D)8`<yxCeb3YHAfO;aS+`{0 zIM(o0_U-Wq6UeVpbK=F*EFGfny3F&^U$%aS4tnDO+Jd!<b?m&|m;6=M{i+u|lkxJd z2%9*VhrFad%WKEA95r6}jKJ;DJ&KMHb?T68P6pC(MX#t#P-}S#f|cj;?0L?wk||xD zqp#`CUNRql%R~^%k@G4`!?H(hC1qvZw!@x`Lb|2<UAT5sIcUU6L@gy4#*REzj5O)9 zaRnN*Vy5T1bj&(!e`mM(#_GMNx#f!nK<0wg-Ra6zK6+GCBg_fw9CPVQKnjM|ojo&G zCDiJCbt9=EFQ7ERIX7{7j$k;xGlaU`rbyVUd3UOxquZc?>R(<?D+Lo62c+N_$arbu zz#vZ*x0E*Qzg!gfFMH@om7>~JK3@;mRg4<cKG7bP>b<sUqw?WXRBU-aUC6jlQc~g? zBx<#q310!AaU1hl8r-e9Lf9;?jU)Wjj(2lGZRT-u3!o7@<kZ%UW=QLL#T`<#`nTev za{QX3(A~;3ROF7JAREdnkG@TLI=+HI)NQnea9mVqWo_X&-f}9)FJDvxh%b+_$#QLc z4gE^|{y3j9vz`y5)`P3s*t5Vl`3>M(Zz5;EUMV!r40>QG<D-S>4;zoemS^`8?zlyN z+6fCHac8?x_simjl|r7d7=fe@%<%k4L=c4vivFwgQ|m$WX4ie8{4!*B20#g4W5+q7 z{iEBeasn<$uz6SlIIh|@S5j8%{zoEui9n*e&F(VfL2W}&!h7J`-iT6&8-02|EnhH8 zk%+~UzO7hDw4iz2aUjtce{n`4k17zgh)|r}OmbS=d_M;oMXQ9yaL)qYI8z0pp}he& zL`s(pXE|~)E&Yxb6q<apf(VJ3(Pm?2ZD(YH3|$03N}0_x-Iko$v^YikG-U|g$35F8 ztI6tqhze>WTp#!6Et)-P4j$}oAv{+`H2cG0P1sc81hFD!2X}DiCAvHlPbPh?E17MO z?SqDwI5|5z`|Fd^J*JUA)hLPRm@;N-98-^2c5=8|H+u!cMiZ19ar?uKa8vl;cK1RX zFrtiVU^xj}08|P81w^X)l0V?Gx&-0V2di1nZC&;q4JTBE#;pz7qe|Cy9||ibFsNZf z=7?J67TuL|k;ivdZA#>t7KFrQ(rQ9W_)gAoe$z@b-@8s=Rxpj%eK`HJIwjXWDvo;# z6t$Wmzv$1v)Sp0wS|QqrJmN?qKz+UV1Vf+HJ4Y*|e~IQg>U~($Syk=QIw27`dYry? zS0;!3OygsDH%|fA2Li<}3FD1*EU!?m(P)lrv%QC|794*W5^X<(<dJK_4}ZI%&b~6X z*w!U%Y;}Pg6To^0ZvulVy#5rk21@kcLNom1f<_A8>|+9V`l@T&58D7IO>i!%Bl8zt z+4>suDJgtuZa;Az(sh*n_n-7Pds^7iTqCK5_!IAvF|EV5Galpt0bvw8OD?9-xZ=vg zgb?ULY9jqcn6N$MU7Y%soRi*Zo(AFz?@nN&71t>6((OR-Y9QtPd(Euc`bLQws$YO% z@(dZpo7unxW&_SZgIWzK<~jo7ivUG|m+V~A*ad?M{JVahU#|l$YCUvzWp_9k*7{OH zF)*tayM?3#Q@((gI2D2`DW#0<OC?r;`P<#yV_PO`PQT-QO`(HdEwA8ftcX!b4xZwX zX4yHKwfmQ&%wM-37{9q2@(%D?7y=f0bz40d!Ut@L0E$(~=640DAe<+IGTjt=P6WAF zv1Mh0fCYI%A95Ko8Rl*tyoEZQ=%8RefjNQtAm89hfVn|Md~24Ii`DFU(_RCwDt<xq z^}q??y>5N>@&@CN#$QwiT05k+_q;0+TXVM{j{6U=mLNTs_0cx8Z1sW1ujqlCT6_!8 z*bQt&h4Zn=P`P^3l~p(Z<hEINwMr7u%+Wkb$&&q}5i1#;yg?`7yi$TX?%*g2JWGmy zFADpczc7r?(6Er(a=R!omu&dy4&E@VC{UiR<tpsrrc#!sbd6%dw>4)L14ZrzN!;r4 z*1mY08Z$NiwjXMR+?_f6>u|uY-4%dWn5w{`pO_7|tb^l1T_>I$?h$QVgzSj5C704R zCTiVATmoh%fNL_8H`1_V?;jI<*)z2avw2&-*$YLf{wwFwD>jGfa*WT1rd7CDgw<BN zstN-dBAR&o57_!vyk);u8#CXAl$YmM_x)m3%l(d@jWwu^+TMZ3OP0FK8GsIQv(xO1 zmx|i8UMc>Igvs|qCv9`5S3iVKnr@OCu}fxEH=aKFn&uAwT5TS2?be%9jZ9L3iOa>j zz&}cB=*52YUUuxhd=Sc2X42SAtLZ9E+HYNBs0(#I3l@e}^_~AxCAH<|l$HH;I@ACA z$OeA1`CHSJXVZ;y6G6EiZh64xcWA_=(3J>A-l-J(5);N#Mn`nDZx}cne-)Wfb9?bU z)UWGlYDwfmUAorw?vj!`&493~<Dm<;3fs&lx12QlX!ZCT$#L@LaY#LoBzZzrHM0*} z*+H~klq;ubWi?m7NLnO{qdhI2)$)}*UTK#mZ49Ybm@W64+re70OaR*5;q!a_-nR(M zu_^8BRr1;75+GD9`Hn_v$Bua_xa9rbeu>#q;my;HELy;C>U>mJIjhl6dNXFxhi?2T z@(<EiXa@Q{^qoV|&!+N(>6x?szqCz7>#lZT3$0*f^&7ql?7gY<iljyI;_7tzu!HPq zr*dN88@1e)OYKOk5a=3sC!vV?FkIq_9e#U8)GYhkZ28+}dh9N`?i5}-AqwU&+VQ|n ziIUnHd)E15X-<XaOJ%XVkFEr_%8t$KFCVLVUDqP&ta;2uci@`vmP>tlAJarC^HV57 z^1vxzetdg_ri={>Rq!@mfpO|F^`6B1zPcg%7WD+R*!dS5fD;noc`wm3p-<Up7GijY z@UdhaKHC|oK8|dP(o7}3PKp_;+!$={e63vF^>D)%-lkPK11B%tNW7p*_8*gYbhd|f zz)<>rgVenCS?5M>N_}B2c2BakR3^&acdJx~nDV6TQ)=esosFYJSlz>c7TsRw4~;oo zK~6<Vl0E$+P{lJcB^N(dJTYIomZ?Z<j<p?Q#9l%3uf$$OSUhym9Xr?+dE<?Aydhm; zy6#%T-7tnn0Dc~b2+_?BnE$8~>p2}w?Me|ZHj<`mZ>xr^xp-Kg8->}e{7}JzNIuuL zYRNuY{F8Kr;;zE>jpF2K<?kTuv_Pc4XVN()44n!XIsaB9PS>M{GlpVwiRlLhS>M3` z4Bu9g=ahN2$ZfH+O}r)~CxDsll_5p<>}MZ*$MWwbAFYQtmA{f?-ZEvUfG_NgmO%&S zz~6IV__4Xkw5k@%g^&e{0VCtUO(V>z9q2mO#$WS7l#!$YU+d(SPH1}yD4G~HovPS! z0oX%MNlCvG^0n<_27kgE3_z6;zlEM&4XKiascHxxf6AF3{FA}$wVN#cO)Yk^R@*be zw>;`5{wCipSh~Ht;@&*+MNY!%)vxE>M3t}V1BV7mcP%Q;xz&UyX*hc}cX6r;wsY%a zdK!@V&+by$7qpet2S~Eg%9g0L%%(d1hk)FcWpXUC%G5f>jjEb)7=PE|j^zUUd<CZ5 zw5Gn9`*}JkUuZyhG~)P*9v*D)=i)t}Q6ukpB@3H_h|_NH+Shq}x(YegYY!jw(_c{= zLp~0qFVC5|tEw)xURc_>YM=+A;$~ACS;R$r>IvLIL>8|-f6|T-)$P8XVCX0s5KQxH zS?%5cb922j(LvM=g;2K#4KkKq7n$N-Pl0^l*jd5Xrw7NQ(Dx$<M;)+R|8GtwuL;XS zL64GQbNfNDqqCiGxt8yEO6}sFPyFSgUbBW*Fta0*u7cUvz{W7fTwai{f02%N+*iU+ zH%;Rs-!}bq@>_`yN{iQd6a+Y@Kqe`whLP@z(^=Qi?dnmm9{g8|(c-i4OFWY)Yudk2 zx6VZ$q4&B945@3GIEnn~96GOS>l2@HF{tr9Pku1^wdjxCx4eW#$WFo<X3ngj618r} z#*_tiY%NIf?oMNZv*Mn6<&e6Nl&KTVtf_S;>)Py`t~9bFWA*wBpx2hhjlS<FRYHrq ziH55Si4m8rbSel?8p#p9AyT2>D|aWjn+Kkd(R*GY=b-FF^Kl&%J(<_G%NSrj;R>Gp z?WiT^GZhra$`>Yq?k<y9_4cvc!xnNpx4otCwmmN+-1+zW@uK<OiYD?pDlLX+EsV~^ zyItGrDp(c*nI+Ro;`GfFjoGLLsX_l)#ZHp;BJEM~`^cauio>46H)2CGk`iaiazP^F zt!Ih%F&$hwUGPcK=`vjTUhI{(WvF$tMN48hZI!4<gfRTbgI`iPRxWUF#Sr?o3Dh#h zpgq{PAbA2KSdF-Wg-FftF8t^}+U!iRmsTpW0@?y)NHtw?Z9J(#ju&d3vmP6^vCEq8 zf;_*76{n&NrS>N|9Xo@-qg*vCntrsGqwbX(@n|$$qe76~Ib3iO#*i!y2jgr=Z!0r# zi29LMc!c5<lK#!N#2?!A`R-rgQnWl`$aOl};EC6_bT;q8XpO2GJf|8XVH`#zaRB9n zTK+x-=zNC&vq|W&C4C}qC9O*zah@OIeorJzU-vO@toq0jjXp{$ds>|NFgs-O>qI?4 zwJG2U*k+D}SuRD)xRBi@@n^CvlwCUi$gzxSf7GQATuHe(iX|elYfZxF`1#sQZ+eb- z=pApCAI^QdjNDHzFV)3(P00YxFbGalZuwX(H}_oW_kxBNiu?Vt4r6hFaqpxuvH6<u zU>3+?Hnj_5`Gr-*tO@y%Uh@kFl;TOz3{<M82rQe|qWy?^^c(QE#9UQRyZfsPxmkV+ zoFj4u2d<I>eO40r>-dhvB!E|s=_IYZmcHAJ`GMQW8jkBryG#$q0@Yyc@K3@5+Dmnl z)N}mESL&%9gcKQ~bxyJ5_^a%th3f)aQg?G&3uLkFS@`kd!?6C0j)y~;7O%iw3#D!} z9okk`@}%s#5%l^Ea-|soYx#=PsrS=lM9Wn4yu}n|I~ks)xLyP(f`9MV&Q1#43}z-3 zr2i7vJ;uNEa-Y}>s77fo?KFZtWTi5-(sSIJ-t~LBUk;`gV^$Kr_)y0KzT{tNMp`*_ zQPfskk1k+*UEk#xq{claJ`5m-j%tu9qP^@MjQy*{XI=Vx_>Ei5@;)1OG4DfQKPg?` z@U$oUn@ifytI`^WAn@EOZRz=@S1$vFncjcg(_$(PmLEru0cBz!#8|<Q$Z*#!YeqU~ zTwLBXzfhg4p$mRc#~aih2ya#Q#GvAxhLVEw_Nf;d9=Udte#w@%_w#)EjMn9|J>IH~ zc2-?)F1x!{*<AmyWMP4~y1nHZXi59#PDwLB{obO_xw>&`f_U>_gJoXUgEgLee17k# zf4OV~^TbvdP*ykElKElGd8O<!MXs5V<&q}78G(>&IOf{DrvAx6YjIF)n!D_E+Dcgu zg)r(!h}aVg-W&_3Zq-e-oKk#IJappH_7A7#b)&=|;qRF?w}kB8`=dSP-sibzOJfg$ zcfRfI&D}{{9-Vs{zVppPWT&S^YSaNW?F%iqFK8|K9Dpp5expNeupb0z5q*2m2KWRw z0z1JMJ2nr9qj}?l^l16h%O_x$^8dY^@Je3>+h6CP#eEW=?k1b&b{ZN{q1X1)vYDkl zW8rrFO@o;AZ{=v0w_lwd<LUACtVzPRCEKzi5*<I5G9JE7B-ka=X9;tkTgSU?#mk>l z$}u4(bl`Kjtp?|3V)@5!WN?35MPKMIT00GX5T47L(~&rv)>kJxIB%9vdn-jFOBLBR zzQ%w3sN{8;a>g+C+;&IA6jxrW%ox`3XniO4LhC67>)Cp5|N3(ON!KdrWS)}RZa!D& zN!Q^RYfl!)T;y1t1hs_?&b8BSir5@cY8Wk82iTU`*oX_#+Z)aal%CcFsJ%<eq$bjP znI>}40bS!LqC1O@>RJ;n3|M7Mm(@d?A_i~A&dG8hTe9P__Ok`?)cm8fEunukfM=ap zP4w5RIi4g*0mfE|<_mmygblbKEVz^7<!0}-1~rBS#mFW_%Y3(-sV;%9_%2iXyiOeu zvpv2P$wUy+(tKBacm1621r>j6Qw!+JGU4nzeRZMq30T&=m&8xVVEb)z_|d}28GI1$ z<h(>8N9Wb{+BGZ1>?{?_`?K+o5nz8{=atBHM%gt$718o$WTDK1GLC(7_Kl!qax5X5 zB*QZH+8WVdu<=BFZPR_>bj=-o8-TOO>W}jn-AXOEur_HM^hTv^YmImzMr6{aD-1VU zXL`h5=5?n&JLdG@+vg-MtQ0oCi40iD@vy<VuhM8KYO(_*6}m%vB}yK{fwoXt#v<&e z<j+c={gpC$(?K*nMs!|UocC?5=e$*&N2HDBsg;ork<$?d=eO2>xyzXQWFFO7Y!%s| z`&Vd^`{ZH5k4p`i)$yT6*Jk!R$Lop>2D!gHurx?)hhpG`jUz(kb<d_Ayi3>3g4OJ8 zll#v*B;vgvF0`PD_+%xdQ9j|j!(u}hu|FLaOLKQKek^}&3(ZWYyH(K*H<)+*;?%PX zo^!s0;FnWBy-SOXMA`8o_srRZq~Rd_IM~RlPj0M;LPPP$<bCbzr5Ji0H>?c0^|bB% zamORL#7BRLj@~mn{KJ_;M-GV{qJ%%hs>_Md4*y+|1c}lAt`PVjF`MuHsaakUTRQyD zL$HF_pZ|1CDTt*U7CR6#d1=3{h7GzVcJt)lE!?-$1I2EK4n2&*R$miS|M~B}U<vb1 zkk+9?>z3agI&<j1(su{N+sB$7Sm8CX%l}Bl+0Kq<E*?7Mg%vw=>_4QQVzIwm7rXG! z0GzLj$>^fKI}{uScJmGN4OjKKXZGFqXAb}GiI_-QKR7x~KNvxV*rAjEf%EUD*!KtO z|KJQBRKD}YuKlmJxU!fG5c2*1fg6qt_`jjsPR10A{dDNiiKIh^j{gU=`{<AVts3t6 z|7d0})Z7fZ_5Gp8Q0GHZ|5Nkt;_YJ${l`OLF=644kZ#z<xgSqs8<oY5U;2C5XqmSf z4_<U=;2`V%EqsvvYuR+qiD7@06Vt<jRK$+|GaXeGG3mq7=l|&j-~9g!U}4Fo_V|Hz z-$7RXFRcFw_T7blw0_3Eydm}j_Qua*Vp!V$f@S{=>mc>t0_XnU^=2g|WLg~{wjE^c z|3HSy{<ot1KU2~#J=Uv!_|Tz`M-Lr>{)g=R{~>!83sMvl$DUCY`$;qSlGq{DLpRlR xjC2D$jP3^BSGTb9H@$5W;BfmlclBTI_!xWm!PU*YP0iia?%ai0-j9wl{2$x0vt|GQ delta 167013 zcmYIvb9ALm@aBze+xEn^?M#x1Z6_1m*qYdLW80e8wrv{|?tHty-TmWLS3OnLr~5sp z`swP+3DT7fQlN@F1SBQ^0DuKhCmPFM6dLpMfdc@DZ~y@OzgE|uu4d*I%wG0(ms&p@ z^F=WIs=I?Ge88L+hXRnsKxTj8gFXB*NkR1tC*XQb-Ts!4c4}4x9e%5YjyPAm$GI8& z{gR!+LwZMS3Jq3iGN8%39sd>dOSfnC2UiP!S-=o5BJl@(AhHprJ@_vMFjl+Gh-&jw zFJz*^??>zgJL`g4076OJRB*icXmPle4#sZD63Xq6@5xx!jUvn(9O_N!zo_`(XU*+a z$zG+qzXm*C7Hu}qpB<ojMy9;z154EJvc!hA58;wUeo<HN=o2Izv%|2Cge6$1W(rZ5 zd~^_FD;reMe^+Q>nejRpfv#ISNB>q&brYL}2}T8k5lB%SRMVUpFy#(Ma*u3`jAX$w zh>{*i4cZJ<)XEpp%spi$rfbs0WM3jU-!bnw`fQe}L(`g-8O|(g!*c%p=$tIUdu|A` z-3d30@n%w$zw_w-rj(*>9lJOcL$`35hUt+s99!{aOgmX&y;e2LUS0Qg@ofwtanI`E zC8-t|g*Q3mx|uD%qb!xs;U);`?ctYtsU7&Wv%f--c`9Pm@qoUWTx7pWb<8hA;Z4?t zPbl2XHVtKPwdiU`2x%$&J5SSoL{s<snQPcHgFf2O@Jf@$>R)A4dZn#ygk8ps>O0m7 zhY8K7nu{mx<1O^p9wBacKlkciKjc4PaxmJ&_xR=a=nH=vI){PwM9e0$*(3%RSZMsi zyD`dtPN&U(3Y<$;zc1o7>jJ_4&n$xepIL<7NBIb62mt(WO7aFD0FEx3+rPY2Y1uB| zJ8p5}U+b1MS#&vjtGuneow!R8q6$N<0N*bio7Q}yTDd!M7X`Zg+&yltug`^1Y;vxK z4LW>0ZeF(^v1@rBpl{+YpD!K$qB5thFK)hqxSrNXI^|#9pPsHBIA`F7HXV-Vp80N8 zD~@{6ie^4IPG7}qfWpLolXgf%FiQ8Po0O)7`A=fWFLL5YrYzS{Sz)@y4V*k~+`DwP z$TLmSg;R%6u_gLw)aj4%?NZkdr_x)72d5I6VnZ{3r)9=GcHks6K-4PQaPU-eHw0&H zcXS3#_oj15rR`Y}M$1@44-UaA$`90g)%MTIkOtzJkSQnr0t!d^B?m$?&Vx_FSsv#< zm#xG36x<j?5f8&+5t+sT!f4-{*)n?RRFY(eM1ME$dNgcqT4iWOnFi!+e;LF5eQ2E} z#s5C_bY<KG&)xCnbf2F`j+d@0xREoo;DNtTXk=s46)`$^_Joc3m**=O7M6bT78_~? zy79L|Uq^{k3J_o1joq!XgQerpLa+m6I6iBWE5<*EnV}Z9NxI*-MKDk@g-O}0EmFAZ zF|2j~*_pR9$3E@;X8hivZ8Owe2i%y5pC5#~+ykyx0XS7fBw_NFep$~LMC4HJBKjTo zJTA!t>_qu})&G8q8=ZDQry!C>V+vlClkUcWI{RVM1w>4<r8YJZq)lc3)2_CITPPZl z4xd)x0dIsEg*8B^+q=1VGX6w&IDT%QkNNr&%h8ag`QnRFoq2y_3@v(0oon}kSBuuC zrWquF5TW1_MtjIrDsc1mmSD-|nrhkRyCxu%9+Lo2jZ;9SPz~2v!j&XEi%$g9p=4wa zMYjFC0v@wKUs|j@_c_CgN+>O0b@V6mM)hzdQftRr?MPInHZUlU<wYmM>i<cOXcC|! zU^X2Mlut=CW%@3+UPt+!ER;%E5u2<EE5``DfaxCvts=9f&g<jU2NWS2vn#M3GMaRJ z<;9`LAyHxO%3-TW{K)hbm;a0EpnOMWBmEa&7HB)eJ&S2nhF<K%A5FU!92r8cKMEdI zDxWkxAwgg~AaP2aDPd1WGEIzX8R6TU1>wY2>o*BkowO^R9?0PSo+;suCSNql-I$EB z`$S2S?~xpjFJ8#_aVy`KLT`xfZhe;#Yd9fsx}Wx+73F?GhvQgpBKFMJaYOLpPG@-_ zs3{@xc#w2bie0+n<|oFL0d~dZ#S!t8Z?azTpv9v-Tv;P0ak=Qx^`X!!uU$y=a1DQj zwN41<nl#!0w%G7#>gA>S%S~$lL~Yn;t`L$1d+zE10^w0|M_v4?U6|g-rXRi?$y|or z?KVjfGWV?n=W8i<i0d>$&1URf_whV10H_rVRH+E7ob+wm?89@CnbtN8e?&!|+(BH* z@>=eif<!joHA?^F`fGCc6S3`NtCsupXVNbcz1x-hT@dz2{r1rOuJiJBEZ;6qfU8lB zFjSA!eIautx;04Dll4wGTqY#AQ9Ah6YK2G%oRf4X3-cXY4hy}G=ZPDtdkY!ZcQwO* z?aBYX?3q<F4h}13v;#$=02cgd)fWlLO1fjV$D6IC?t`x&g?bRs2wxOJoEwPT$tHaj zU)}#yDoljf@9OxH7clmB0fpMQo4+$#-}#2z@8@styyM@`)PKTh@Qr8woFVT8X1hT{ zEvy*;WMgDKS3V~qIq~5GY`eLEr}RBLI}isr@!B)Ld!(B_PwJPSUM*o{d|>^L&Rj)= zMT%y<0Yb2qE~IeJV1@FcqLKmNQeyDRl^QCnA=YXtI4X!#7zpwH2zl=gGdX4%G4PP^ z#?nNr`;=Ka<SMZH<BiJWknp?J9p9;Ci$us4+R`jg=^^3CoZyR$Wkf}QWSF=<a%fKP zhKRR5(0j^QA!Y$M2Tq2fbg=A4Ax=SpT{O~Rw-Y~sl+|Qzk3KB^g*saK82473kzYC8 zg;oliU|~#ky^=bzyrOl;Mp3{AjD}nY=^{vcSacf&GSo)8d*Xdk#Gd|K`M<~RP@w_< z_?6ouvYtI~ES{G>eP|hAB$)J$5vpfJhf~`dIL)q#$pZ%rzfZboq39SSxz7$Kgo;io zfvFX4$QK=3F(DJ3?@r&yQ2$plt^%4GTVj$xrp~8|jBBE_>ofU`n~I=t`)SoK?Y#$= zVc4j5q|Dc;@+ql;z*jy0X#5@X`_=XCV)q_a$JFP5Nm5Y9O8gDbyZu0J?JL3UNY-Pd zS}jj59agr4TGY63o~Q39k6i){*8)W<$NKm1(t}}Bv~{;K#9_e&bFsflN7Oh?RyYle zn0ffavX3brIIehnP5Yl^m;_qVP?1*<wA;ELOMr>wt>=z9e0nc@@B)c{$lTDSi|b2k zn@_lK=lJ^?|3Mt^+JUd&$t|Fln|uGcmDnSAr&HJlh}utod!M-+HVIQ3=2G7^vMLJr z3kHi$k!1RX$UV-#qUs}Fc`Q)f9cnXWEfU4Q8;zCQgD~VqskXbYeGi)f3}F{&qOuN0 zlN^--Nk&P{^4%(fF@8%}lPEPJA;|@mdUPR#H^9gc*LDF_@(Vd9Vjy@%yct_VsAwmV z%2J$?gUD`f6Geuic%Flp;Ro0j4CD0dzl9@M593yYn$?1K!9NFZdjcg*dtoA8pgvg+ z&^vwFS+#j4r{!T>P^9H6zcrDV@vvj5nk74f_8mj;G6vwz8ET=ibX4)8Kzcn1OfBS; zp@|H4*ZRQQlj?05fjrERow!g`%*<CQbpeq}TH@)E0@<3Lv-vVFux_4{PFy5k0{23_ z8=MHUCw-lO=<)(Uy=<}=`p@QMkH!b;$u!c*l0%Ef2dercFn3q9^`KU*CG=CMZ^qV5 z0C5#ym4Xy@MJQ>R22)6Whwj|k3s;9BlS{l`R0>eUm|+o|>a-MEXw`&$o6$1*I@JbL zn^GQ_=%xWNfLZxMvoDZ~)9~Yy2q3sE>a0<UEmzA?R46!n?-9PZuM`)&SE@!6V(pnj zxjEF(wQK~^EH@Gs+F#u=XPu#USBdHebjuP+4H<5T<lm1vJE#z1(TAeDWfNpH9Sr$9 zumWf3HC4tocCzNJ1feF^m`e~F<%p8Mal>QJuNKG1WRsVvu*BF8|LuKDj2+-Mm)OIU zEwWivru<X)Ou!DUSB5QjONe*PyS~y92ivU1nY<_P(ziBNS($}S?i^Jh)}#^?mmADL zRgr|Ak5tPZp;Nv9M|M)3WJY<l!&&Z$9|O!UK>jux7CdeQTFe9slX~I{pdb(s$uyaT zA+)|}ph$&My4JPavp+qtv=Oh3GiS(PzLA0bG-UB}kjVIx9@K?y#Sj%!$vT+Y@lwvU z!A3(zM9=)rkQ{}`M_6lj-~zE6XNedat%->q%^}JTg3COj&pXZ*z{;X!+4(Joya)tc zkWNj7F*0S*Is_*Xq-r4LH_&-|V?T7o>$FQKO&Dl7Nj@JseZJfv->p92VxdeC6K@&Q zq=dNq6@}^d*?iM36>tF0FmviZC4J~fdv`jb<XoO(?RASOK~%xq`>S&-N#Dxjw#OkW zHXAlxC!84r%8tPZ-0#{K!ZfGjSp@QM7l%{N)}hT?)FknFtHTuuR3*{&b>u>CKH&XM zOmGA<6CVjfR=_n4^yR@tv<@W7rW-c*y*-X%`xDg8%#I)dE1-F_RH()W4uW$5<Qb{h z2*b`iftY`ZpCr8aVQSZ&y$elzF9mXtI}<6?qSDYOEvhmR_KEjKfrU6iiUM;`R(h=X zdWkV}wpgDeBKuuNF~y8*__<~vt}&Xj-5$$qyoOo-^vw{9N#xB?hQa2{_M8v)rwF8_ zF*iK2Ivz@YWifi>dB_OI$t!i)dRq~vRZGIrc&udT2&(pfM_jlw$E{!Y=7na>CC20) zSCM%da3MTF0hwusiPz^P?*dzgQl!H~UEs+>OI&Hj!36VFZ0`89kr?}Hh7u|uQ%ST< zcuHK^8G<Pr80LF_RwFWERP>8ZiPQ@eqeE0KmZ^PrnCI9p2_#!Cwsui~5U(cBUr2<G z+t`h{`zA-|pQ|W;2UmY<_J_|F#jT;H_;&^L>J(>qFrkkT|0E>JDLPPc?~#(KUxe<K z4&i*8WR{)}U#<bXV=s|UrYJpmp||FXzKoy$#Wrn$(_crHNY){uPR63Ygucx7y*9DE zv<`{GNY2h(zKjnep@c9BQ}B_Ujlcv9Z@MR`T(qFRJtDdba|v#QNb&&PM0a00ZK?Ng z#>~$^fPUw&s6bjP^aV(eN2!W$F+7?@JIPVXT^sab$y|l8D7JSax0o3F&UB_;kgX%| zrx?aNADz~&NH@XGU}CX{Mz0cj9zswR3G?4SC-Y=@WV2JUwF)8lHrKAhhLyUcx=gmJ z(N0SxsC^-S4w;i6*8;C7S+CkWCwnI{-P))$BXX!D-n_`YED9X5#7$d*<15`T9ZAH} z;-l?qWL|=w4A<b{ri8;~$e}zAbGFU_1&$quF@~B|2TvGtk24%@`u3i%tVU?gh#tBI zvz_Di;4l^=7rECN;3mSC3@hvF(v%n7$>=B6FdC0Z@G4~SV)Sa+5c)(7#Gac;=#_4G z$}|ieEdhEZw}4bQ|2OE_e*kz-6}iYF_aLQmhALCOzr1qikVqQD_9Mu&6PM%|_B^eK z(7Jj1$s~0H8SHiVa^ABq+SWwf3b|W((wxq-_^%a>%v^Tob9{SU{wYhwK?Er3>gKlH za_2f9TQzfhz7x#Mc?iIir4Rb5H%(odMv@PprY??q(L?q9c!F7m?sv55nz{CdV8bH! zmHmbLf10yL)&JnHn|pJ7t(c~2x-i|J6^&Ol2D;1d#zpQ-o!loHy34QsUY)gnj<jAD z{b5$@eFQ}RcFMw89ju(0dUIau?KDu>%41RNRn=iWpH^{z;d=u+^r-sXwV|XT4|EUr z{iW-l=)$iGhjnyL!E|w&Fx~1Qr6C^rPk>J=EB#wth0Ms%0f(Wu&xOA{^>_1z(u}P8 zp@#yEurv+7C`1Z>?C+v~ZK8+7;;92{!Y2osU>Oijx@{KohipsmgS+Tuz33VClVlYa zC9c8fZf^MkPSIl2`!4@sr-A<$?7DBs+!Fn$X5K~={f&~_deRRX<(t=Al2!i11^;TK zO_>1t*Y~kY2`Y^%o_zT3`Yub2Zfs2j-yi+!0b>05c16{tVPk&M1Jxb)V=OJvSn9@Y zMD9ew_H8+!q_eThbS3|||LyVrN4u}x_QL=uOmIJ}=%2D~424TKcA%LVS<m-L_nv7_ z!~fqHuK%*>Fg*0oK+^g8RnGse>F`{!5!XEu({=6dUN7%iuili*aAj5!^tm{y<kiV? zx75wIdT1Tw9ysCgk*Gf-?fHA@phn3<=L{MO$eS~6_FXcA80cOf&9C`+W(I?ucmn*6 zlM_ZMym)EWvQqhnssHc3cSly0=Xycf+lgf<2>tvDKYXRZA)-rr-N~913Ws_(8{x*p zZAP7I{_*wn$GhM|r^Ahu(KQvyz#Xl|#_0{psv>IZIJSb=iQ0(X3cXG1$hF}!UlIfI zFC9PjUPry-)kU4k`YpZCDnrar*T2BXlA|vZ;&6|)<6%$Mmqy8fz1xbZf;h=1RCK~$ zIeRvyYw_}*W}XXS2=x}@IXW)k>Js}NCcDk)MYbtbr0PM@7_ihaDy`+hflm2te_9Cj z<_z7zQx<;Q(xi(ZJ;PD5sBDBzXE{CB>)2azKac-*f~J!1eza7<<J=MUho%E!CwH-k z#@41oOwx1%gYXvIev1yu&v>}Eguy6bk8iqsvNKCEjTgc0_%lgT4Y+*KVU#spM?!YQ z|D!mTC+%9i^}`=t*qmK*VTnVLWN+WItNK^>2(DZK{P~K^9!oN04;zzQ{9!zi4<!+z z%8WEZJs&U%@6exR^IyG9*?Yk6GS2~Jf}3SL#Zz&hM^o(u=op*t9qmbeK0yn~q3(}t zDP13Q)GM~*aL`U)a2N`H>x$^b)CS0|xf+kGx1Y+;+qwM<`*%kR=YG>=3umw5#|xKs z9ogZAxt_Hl#V)av{Yl~*eYbX|ubw%UaS^O?3BH@m+~M(A9iA`!{I@{vE!D7m#8k%d z2**jc;2lB|L=1J?y<^Ur&9H4=evw(~A76d_3O1;MkJ5tdZ<esiracYUTQ}(bhpnGa z4<Ififp_Un(k@~2I@j`B$Q=?<-~RakJE&F!3b`>45vbY`kuxL#uK^X<70OziU@o&( z(q%p<>vp1R&8|h?dtD$BB(^bRVTv<Wcw1$5g~a;h4dE8JxV<yk8Ov~$hx(;(f5p~e z3gp~ns?$MZZZlcu5BzGG4?7e)_8*E2U94z}mV+6dS(Vj@?#c79bg)B^QH!JJ`JcOt z-yR*#_dSrOb7>0|k4F0-6*xZZB^38!Lli)sDM=+)dbQRie;|Pty6hJ|yF8s>hTs+) zy!-(J{xcoPv2KaODv->EZfI}BR3z;(aXoKIgmu@_cVXk{t~*^aQ+3y>F6(SGotO3# zydK)47)d_=!#*rSG3#^HVFdW#ol$p&(z9xpue`)?$q8~L>xQf+&5%cSjR-U*<Ly2% zvL`wvXKVvgC=np(8#W1hc!ZCb^~zwGAzGv}D3+ec?`PC3Le?85WcpN(3|v4g!|wWJ z7{4M#L}QNU0+952ikXb$aa5cZV+*+z<f6Xv(e#!yO90Q`>gn)<_HxJ_(xYNk9>S^B zqMdxubt&s`GA8xiD=WI%&M4ueGX^7N(4;?E9kYQA)qHR*!_IL!>(LVy)qJTx9jp0d z|G5mQa0p4Q#s{m?=LFYnvw`IBSXAcT-At0@Py~BI)0Ipo3P&lX>);_|l71eCBGlOm zSANDdp}hw$`<@lR3>>1K>4;WJ)0FV;)@A8G%#_WE;MjZACbtE55Wb_9NoHmzvJel1 zn*kCRQN3JBh8Df`U4IH)BCr3RMjmk;qD;yh`+F;dp0Ix~YyQB_iS!-)TTFetdx?y0 z7@yq7Am&BN%;{fCn2a>Z&qNftLiImCtI#c`8wO2GuO3>-RK3z7$r_?mmI6!vx^nU> zv<>M{kj_*;{8TGN6RUgpS>Q#=q5TQ(Ln#5ICM%2nCXBax>m!idxEN^hk_%norlz8_ zX-_(>dg8%7Q5OYIK%kcOB8yLOR94WQ8>T=coL^#`dy~Q+K{7wZs{9WBR#Mx`GRS-5 zhk?m3qr&Fm)kp`r9ioFX$ZL5gQ$%#F40Ok+RC`s!9#=@8WWL6)PC+b}yOqyC?34r= zGO!ag8p2Q2MbLL(*T0-vp6aGo{2CKKBbl#d)&3mQo*-GQVAY05VaXI$&gvt~(OAh# zXpj6|C~lm~S8l5`vT#e0iE#vH*cSZ4<_C8_P_x0W(?ZGEX-X0<x>R)>VXw8}t@NEW zc6Frm9DJRB$JMgniS~+R*YAwz*(V9;P@X`J6#o6{=FG6uhDXVr#+Agn)qqAbHRr^V z%vk07C{u9TzhvC)qvii4<8C4x*eA<xWyDWSZ{u8U2*sw1+$eo{$8QDaH@;^u7(&LH z^I-h#XbAX<G%NZg^8^MiI|HGTqmb{5$XAX!u_Pa(&7{H0Sgx6lqd+Z=1*i7NRo)HW zQ}>;ftACV>q20kxLRk~hD6%w|R3sTM7rd}<TLzDJ9d(mtf5mu7+G;$6ET_%PaP<uX z-2Is5KQ>i`mx;~D@)cmH8eKbzp9AZ;MyI$Ju~UTE3JF9r2>+shkLM=-G8tJcYL+}g z33rocIV4YiIj)DRUIiY_JW3iSrFw8=6MLE7+89P&?%cK0M)*~#d9vS&WM5m2q4tl_ zo<h^SO?o07u&)^pXmb@P_n_xnI5!z_Jl@hDrEOlFZqCl$-{0?!!$-LoARou0NZ)rX zR%9){THW$&6IbQ#)BvZZ%_zS<FE8}=DeXS}oS)pZh5bL^{eh>8F3*RH^S*Cqr^X&H zjjgf$AJ5WgenP3Y)q%he=&UuliT=Zni=$snBP;e6=a)8ZTcOcdm|V34iQ#NSbkGUs zr_Qk|=d1mR!S>ybp5ri9rokU}Vi_@G{E7EB9#6IHUsKMXZ*Q;4P}~iZ@8j_N$G)2b zomM?n&Ab0tYamn_PR2^d<gx?-L*&(ti=&Jim!a5kzm91;h?}ixef2|25?RMCjK(P? z&AAjJm~jiJXBQjrXQFx6Zc=n%&o^-3&9`Nv@>9{f%1MR@`PWLn3DjcnYm{{Q;W#r~ ziFgd6^UK4b&p(PX;!+&O?Urc5hZCh`x`HTuWzCL|7Pz~<Iti_^;oH^4$(}Yen#HXz z8fh;w#CYwodqmaw_m5uKbj9Em0*t&J;G5B9E&t7$@ilq&4ho@M6@Dw9`y;P&*^B)y z;idl_^u9kgqIa<McGiQGxKgFgx0#RSk`igFU#{Kn&7H?8HgAX@X}f38uX8yy9_w$5 zzTba4qyZ7)M40vob=N~H++iQmvYZs1oNr79r}{_bfz1X>{kI=>e|!Pbqh$PD(a}y9 zkG_K#^JvJe`l<oqgW-nYB$(j@B<nTYD(s!#*D7&#f8<l?4#gOQoX`r8c{lukQ8a%K z57b*)fA<j9mKayV+y9JnMM^_*!SU_LxX;V+4FW3iB&X8%Ksn^)4LRK7W;MlWZe4=V z|At~Lg8lr?*xidLQ&q`wzx-9*qH&M1(BS2zUjy<(Zgf%no5l^Visp46!kI+ZW8x_a z1BEZYwKe6L^@e#-mw9qTm3FwNgm&-r@kr*|iF9rJI>b<FlWCvYqJoV`rfKILYvG)g z$xCfRjpU{#tPwl>FIC{0<u?9}CIYAu0s-wKl;(P98{74CB%Kn#lURtbu&$l^R2#b; zJmXP93*xu1EI<fR0KTN}M5IvnbuD3}N&ZAQz-sugP~3$$^O@6FeU3}NO~J7K!_9q% zlo+y<i6k;O7!!fz((skv5GXwGO;Vy{zOq3TMVE7tX|Urlpg!n}xZD@k3S*iIm<R!8 zVs4ZMFPr`F6A*U8b*Rgjc*pn^2$dc*t0jzP_qcTbRugTGD>8w?ZTuSVi8>=@wh#sd z>_W{l8nxd~xpJx3^;BGH8<*vgW&RvQXzT8@-~RfQ-3VW@8E%gSwclTQcb_w<o*6Ya zj?yg@<G>cM@FS3#We2>EE>gpKf#6<SKy2zZ&nHCI)I9BFPEgE!lktTm4Hd0V1g7|Q z$A4}7*1NxhC{|I-Ng$G6Uo1|$lb8exSmDAm0*BdObfw0rd_#@JWBf@DsPaFA9SsH` zQiZU{-VgkdFNQU?^~0gBOI%lapX$4kq|?UlQ3)hag!e7vVv&QFolH0~3@Ya>#_F_s zYYh1k@pth5;`xGTKuPF8O^y?`)i;V}2YE?Zyk3B3pPCWND;pZgUrYsr$Ocyf3;C2X z4vUaj;FD6Y6D45$b5@*(67c>MuN@@9-oLDO>bR6jl=hm;jf^UpfJOdrU}((A+R(lk zg>18KhC_4jTrF<C>@2~D@%2g<4zU8EYDR!`HLSgn1Y}^pubAMZB!7c0oE93MoWOKR zRQ$Sv%F#+R63BA#Pyjdc4N$oPhMe_H!BtoGYf)wU_BG?>n{sCB$oiojaW9#ukH8N+ z$)gc;(snIC5qYeRg|-oU9f|F)S>E2Ty!=#K-Qr+-AzJ=*i~z^3*ZSmOeFOWwcO<yi zGyG6K=ZT?xULZsQD5>^VtrpCZn(;QYx`8GRC3hpto5gP!mtKH?vt2m>B%133e2<(k zJ~oAeU}@#vAyO_uA1}>qQy;M@yh=5`3R<YR+!q{Or8-EJ2HT($VkF)F4N#$n7k#QA zON=6LTdUS0lWFs2Ji$zFnAy#%HvQd`uL$wuD55elq*C2W+{>SXL@i;VN<Fu@kYbtb z@H18hK0`u%A4+!odIr@V2pP(j(<(P++OkjUk>pXSZP=yQHAW+({9P>RgZ$!`?nqX6 z5KTRsvrvY*Zu({oY5HVc5crXtS62zFPe#6LR=A~zmRDc>-`9}TZ6fF{*=tiraC=~T z&bY(LGq@VtWTPl@&ig5kan$HLE>hZFi%KC%EKi0GoMQpz2_CUCu$SK4D;TR~!#qPr z4&g>@4%i%c+XfXRz9!*2cq**tl>Yq`4={KA$D!ZxK`=pJ9*2>MH_u8$%0+p@iuGrR zci}dcj_c;fWD@y>PX@#2@+ad&QOGkaLWn~u9f#+DXNUL8@%)D%57ERbUdbDzmq)&8 zfC<VnwCuvDJf;@`5CUCYG|&N7b|!f3;2!rZfF>8Xn$rWPU%bS_cMc3Ux_NLb7bWZv zB-gl3lu$iVgM)tUi$&isfNfwvfkr;>wH=iF3VGBRwufb>TmYaCu|Z{={abRvMahU- zXysIHj4qGtcO{KVDkmaL#^J6Wif>9-3E9Bf2-`3U(^&-rsR-3djP7nBtX3W@1KEy2 zguZ~Vt_Db4t`GbkBDfPVq>;NB>w1phYD)Pe@9#zvbT(j?P+|FWM1eF3>SJ??aFxIm za0}=Sgu_Dx&KH<*(H^}-mF@10$~yn(S5(>rnq!Pv=&+AqzSJ$$AZ^EQ;G^)q<4;ec zgEDy>+_^PCuc$JO<}d5)ke_P(3PMyTJ3lKErzmADVPJ~k($T|0xK)nLzdNz)J*5&A zIC!8g)z?K&EovtaEPK4MF^|F)U0IW=84Q9sFcOLc%0KDT_;rcD4RLpsmFn9Dn55&< z`zO?}nZRb(A|cxex{am;)_r|iv7RZs{b*O|Ecq%0_C{ypbd8r?=R7-WK9zu`|JyQ= zzLCiVqE*x95bm)Tzhm+Ky{@>Xdkk{g5aw^ac?DwybgH_J<|j>_m3Xdh`-I0x+LCvN zQN~byO&r;%r4kz`@WJUl7|dlIRlIN;%n}IK$hT)g;-H5)(SY^E-hO3x-3lJ<Mg75r zca;S?8B8;$q>Bu)jA5m{4mDB>33QhzR&d)rm*hCefOevu2cfEmGh?Deb|tS(AfIVJ zvF05(qQ`6NNYnC3b7*AN3g&a%*4@bRP)^H|CDCGh_8q#pFN!n8G3Om=3ZZeJ9SOs7 z9Jb#kIA>&%1I_5)Z}Z`C2M=*SlYEVyA9R5F;FJNs_PU;MS8<_@-^$lNd*~_-qz>KN zakd(T;m}~-*HMf&8qpvNkywJf8w)~U5ie&#uoU|K02F<6a79sI?Jrt?pC0Eecp<J6 z<wGFlb@UW2hgm>4`Dy6A!pipt219#6`5>1<7xAeGOc6?f(2pKPoEO+L9j%f6rq}^z z9!kke3x{J|%2k|eb}~W{r`tmaltQweLb8-X^qxZWv_cB3RZ(cP3w@-e_SfzkjN`%A zf%+W165$vmpX_1C<h~{qgzD2@IXz-L7&Q_q+>jMfeSi47OMF}R2A4fn{tC(jmUjAE z8o`Q~Aa`^3^atwXVqg)q(<fGK&YS~Ftjd=-^mRe8>O3Jd)g6~*B=d{klUw1eQSj!X zL3>`(;aUf<kERTTSbs72X$g>Ph7_xj{MxFAm=I`hF4t7{cQ`}rYTd-Z!v}q5;ELGo zld@_D(Ez|xumUOM>Q1uxqj(h#JFu+gfGSAPYc9eD^}iyI9d{e6K#mB@-b>)+=IQxf zisi;1<XbrFZGsU4nd)Wh?gp`V%Dsfnl#Rad#NBxG;e~q|cRZXQy3+b~2_sO0q`QGe zGlyV9{BK$uCMpK8QC?OfTQStQZeAyx>uokX&|Jw;l&~(1PL-pg)#$aQdf~zPMA;`! zs4+fmd_0T00i+|dy=BxeZG1qls(&iT2Io#QPho9*UIqPNq6r1T+?j+2XFFB@X?Rui zgRRybDM%}aLj;jZ!{j2vP*NoxqfNQ%fN)*Bc$N>zJW1Eu1rFjQ^uogdSLH|P1xNUz zY%-4kT(_Siv*O~@{1hm>-T*{C0fpduea@Xw@*>CoqMPaL!UFPP?nWBXmndqb?+9qa zF)1RuxX;Y(8hsnp%folC!ixGY(z^fRn9<D(_&>cc!qeIVNP$QLWhLl?@WWEcORb$` z6{@8Xe}zOH_?nS64hUK|A?qE)uRTEt9B<u-%d~GbOV5+#KFv$mID6AfB5}PZlLnsE z=l>7|;v;ZCr<%;BPX<X`@|1nwprjE0<O|anK35MspnO<&S{l=^l)^IFCrW3t+PFM< zncTHycZV=%frSy|!H$OhkeF?usH&Is+8yj-i_^3hp4(abd(1&vtVT^CAXSLxWhI6= z3J}P~ZZTIS$9TH98VRX-H{OrU_+dVP>G2W(oUm)PX2)<bBD1MjWX&=F$5&NGwvs?< zQi?_~o-?I!qBtAEM51pee(LC#7XT3t44EBtqskXxGES=1Hp=y=Md`}T!n>imk$`4? z&^+u+Z|c$#d-~*gZKR0bww{Mi713fzg~uqDO6_0no~yGDOO|LN_q9Csx4663tR1ug z+c|<C=lhT9GBCuT_1a(ws`@Ru%;~>PbPz@oOuicL9}M7<xltRRjwX*d8j}36#w1BD z++-&7$F!>b={3ippA9h1gI11<pM;FFu>Lg>=7FoYGN*b}o){B@mDV=aC^~A<D2yM^ zEW14Jw%T9z9k!R+t?Wf4yl4*sDm4NHXz7}9V#J&lS*?G#tH2z~FBC67q*Dw{7jI7g z%fxNb^q};{3hr2cD()1^E+ccWr1Rtpp)OJ{(ECSMERzJbBWyxbyEhy20QNNkYL=9o z*Z}nf%YdSKLG_l&5lGhRtSZ9}oVzZL6n|#K^T-K<0cN&zzx2rqfA33Km<R|DgxR8z zAwy)@Z&2bvRlQ1I`?M_WJqzEj-X;*QP<TO0`fkE3FPw4+#sU?gq^yjx`*kBds#UVd z(6`V7k{3Mb?vK<a!<V!a%C_nSC?(#f6tJ&RcKiqsx9lByZzh0OxW~zxnSsis`u9)` zvypkQhm5<^&mb!agtGA~LLLBuN$!qVfdU#%#YFLA`=LKohc}jU_HJi?k2zth49rKa zH6+|4j=+i4YO?yp@RXaz)v2r_x%#5gB@Y@x{AExz2&$3z3HPV|fT*R*`PbRbj`Had z&A!2IR9^7DiSH@axqdL3gj%JQB4y~>A{LC;BzV$-(}Dp3{P-jGKKB{$hLulWv=X=L zDA%Klnc05eFnMQ2XJflli{n>(f_3FBYSSJ`5huCh*x?o=UX=?ivAnwPpw?OlJB6RI zJgkC>Wk7KPL+ndfsR14cceFT8Q(>m84|@ZDmxPHzU5Ytu1b)-7!TqK9Q;Udy2emW^ z4?{nyREi=<Bu)b@*53{ova(TtKagK{mw^ZxBDV@svJ~VP)aL0TJL4WK(WO$n#bQa9 zx;PE38nP%sYhT-C)S$A%cWXhm^R^19_27wC96`4HUY}G-RFL^%7US)T1XS{%e5zGM zS9ESiC7Tl-bB8=>PON1`86}}QIWb*+_HlB?jFQ>CBa(G=L0$kd;96vdq!&Zv)CBz^ zp*i@Ml_8REvKIC=BBGr6`S3YRfK+H8=J8SxqfDE=&C{hIBF6?LXxJr6<~2rWh^XO* z@pP3FqgDbGAiv=;FR&r*$?)LI6T%IfL{ijIn>($XQ!JHv=O3Q-V}>h`uptY6H+yC6 z{k5uK?pB^#7f}FQ5n8A}xHYDajzPg7JgsFbKyIQfWAwoe_8uBl9dIdaKsdGH>krL@ zvz|!pEm)Eck>=vKeJzfc=CVh;HQQ(7Z>ukpfDx#6DdKX+&h`=#_*g|*#~_)im;wWf zH{@8_*rJ8eqBw-ilP9iSBa%LLk0A3KgU+y3`&O-Av5N_WL2)soC4KMDNkWI>4I08# zhziN>DLuBQhYZd?G|+diIwB(ypxRw@WyE@*>|H!iM~kQP;<J<Qt7wbYodXcmiL1CW z1q?E4k;E|m@GVa79eijkBv2ljF&6K3MJ{#~ZHHwnE}o_`ux&s^b_`n!TxGSM3ywGq zX)NnKHR%UhbYQFn8N^qK{wj?1z-{3I#pn^JI8kwxL$MOr9EdfC_L5~I3ECC2ftGui zN{42+8reX55>4;WY#xAyJZNJmn&N9NnGPffo^@mbo~%I!JnAU4T?Gyd&xrs7$*^xy zAG{YQr=Il@4<>7?6iAlCCH|iA9D;c|@_0^?L~6jZ)mmi={b&#Yx)s%_6|Q+<Qy>wo zX-Bz2Wkqhz2EKj((F$~jQ=Wo)g9%oS6fugWt>sRJk_LdS$q#@ghn-^4gUep$1<CeU z*?9X)lV2n|TUA>qYN(WmJSf@-8wri#S!7m+TXMolEIK}xk3g!j@k15hKIDIWr`Ewn z*#|UGfUa%03KTO{zjy$*OH1>@k@v<BhK`G!1LxsVM%Y<Vi4Bxi&s!})Y7AZwSr@en zXimsdxV-wS(ZXV5r{}c#vT;4Aox8aEZy~p3hKahi|G2Mf{um{~6IwX<_qYxRGOSlY z!i6WWfW<d5)KF!~#os9yd@a=f0-0tew+EQ)@jSmm;L%PbvmS$VYQi7kw7}I4jvz-2 zV?5(14pVVu&H{+6;`NYRApZNq%V~d1Vp}ziqUTd@25MTwys$3TTwB{@rE-0#5hCRw zX@w{6L94_q{$gfFWf7eaQuq^9$s2;gk_amN=u$^@4|nYkkqx>sE7ATq!i@Xmun-XQ zSY4PEuP>c!oH~`9JF&PR3Oil)#Kmn6R(>whU0W%cehbEIEvUT4RH6qx^bB0Du}ZSJ z0EFC2*7(h?DF*ih-g489cZsOpXv={Y%5lSqH>~AkD+`kAdYhq-KSr?m%bmhuV^I5G zqd2|>)^TH$8_tfV&5z_qA+jwT;T`a%NS!DP3_y6|1aRkp2Iz?OlQqhulXwCo2vu#J zQv}>+Ob|p(=ygdW`=Oa426%&+B_>i*FR)7xM(4qp{xH_R9npE*U$je_2xh1+qYEiT z3}=~E)kT6K!7K_yI^+s-E9E3{!2EvKh3{F19zvyV|7H&!7l-a>LSqP2*#;W3*+Isc zRq6(^ODmo4krp3~&41I-g|~!&J|yBYQrV#jvR;L>Lk1lwL(V56^?;<LA?J%y6BThL zq_}Va6J13I^Z6qp_GV@vE|+gArr(Dr5hnCn#IFg%AfGRs5Av|NgTjz1iAW)fJpxcQ z*1*I>tYEzC5WGwfylfC&)_~Bcwn2;<;x=_mJ64HnD{C^DBSD01%$8u%<Z1+62`dxO zsHTbpJc#plJ2EHc5D^661UxQ>GcL51?@*CH?Ri4;YAIkBskk1j(C5TBTj#$~;R>#p z$S{NVC#1vtE069p5(%L*=NZ5Ha2R8Nl9&tIAScbHTvvrm$y5)q=>yUUxX+#UOXh&M z(RKUil^MJt5TnJ`vMdl+J?|`7FUbXb^;uG2LwtCVWnhhELQ!_*hKE&2wl<?-+P~@q zaiKU%Jw8g0sHGO1#4tCFhv&32mkz^9;&>#DYVO%$MTqtzV4*jAN4^ND4fY_bZK~FQ zk0ifsNl30e8#)fZw1DMO1FQDKWm!`;{2gtE)CQ?3xeHOSI~oj4NH(0d#}zqfILFSz z7LS^Uzxa5MGTmTqZ&z-d?^!!)KD0j;voP2-MbxiGS*sz46ygX-GHFVJhpZ>B|JYb@ zD5LV8?~rM@0AV9U*z_nS-P%cfE}8Xf!iJQ)WZxxroj)$E?SV!y%($MrJh%EMSV+(d z@OkD7WkX5dOe*ZQ&yo87)mxs5@h``3dI2V>q0ArvC_mj0z0rr%H=z-ja$fABLzd(r zlhBq(?s*-!ByUFm*pb!o*N%P<9k&>=HUcL`L;jACd>;y&@`Ntge_srrJGx=U-wu7B zQHqcbNu!g<seq)>^2l-`*xIBz6ygl@^5%rvaQOSJaw@pPhaeW6qx0QGo`!7eOxl2c zQxxVMMedtaL7v)8m?_|I4>AR@a1@pcI8}7t55vbVKgjMo!>VHM#~#_RlUg#0i8TX) z^<7DJo>QzvZC_M88%>A|DPfiYsjdcSAx>BEQgJjE17Ha_S<@jZ<biOXCPGq%k`c>? z^U6<CV#&y!<_GDWoc%4iBY40I+52{&fZR2wGUEVDJ6bKphlff_NqkS-gRFf#1_og; z1<fU?H{1%TmIy-6FA*c@R|RAkYM!ufJb|UdTdc4&5xt2?Zt`IZ7aA~0kYI|`@caQ= zrAwtm#K5J66naQZ7_Ji}mRt9;9(_D?Lgq8ljg=LviSVvpE`%>4>6=!hU$9IkfT=#4 z$2C2|#IRRmimCoV-fU$aC(&JX-_qx@5TR{EiECH)LHLEVXynes;(HbRNyuXs5gUvW zTpcN1^MjJox#HI&=Fp*Ect+!#`+<tRB^usy5<o7c1&U}ZqiI+?dVXwNyP3=Vw;5GY z#~btJ?@L_cmoC~qx>YH9jPx<dNbUlZ;0QLXkrAkp`P%`DPCE}00^k@rFcnmO!IkDu z#iTv!zdTj^gYg1IRwVw4(#$#!={&;5f8mT2c=A{8W6pR{Ko~m{_jPGAtRZqK8g$J` zh5&b$Dmje<6fv~kawBeVZGzR47v~irv1PJiy=Kkkjlsr#@<&Em$QcOjco#N6IEV}K zpS><56*!1*x}20{i5iXPm4qk%jjqv!!Gz$yh`}+$pi(g+9EzrM+h)xLr}Ki*#GHh1 zCk*oC7^%7&&?nuxM!NMsdwRzV&tfjsL<RoPJqybI*`Wg^Dxy4fX&2O!j}M+@{}X&o z5+*rV0aTNV4Gsfm%9?=#9#{OgQbuI`9AqVDRR*>M?PBL>53`VMe@PnVF&h|7Bth7^ zA{x>^g=}IaPn3{|i31cto$15o=O?Y@floxLSwqB_dE#@*Lg;~XBc#BhTH*sySOY79 zao5yf9>`mw$y5*@x|I|_OdQib8GiL^xU|m5*As(v6gZGu8PVKv`lw!(%=jg7b}Eol zzK!~d!Q@VR!89X@P3UJ7Wf}}3`(C)aw_nX0;=%br5M=IzF=_0zRlejfpcL<3d3>ls z&Lb{zQXR%nnwHRP9V6UNlm*Y#I3R$o-2Jv55{zlGNzVqLl^dpkB8r$fCYBlUC&Y7{ zFdblP4H?ioi3kUNM}mWVap<W4mZ)~>`=;&#(6v>BuQq+__j8Ii1JldIb&74~^P_m6 zuo@>L<zWmL31}+0vIyz{x8oTB?vb%(1VM7m<=x^Z2Q)7SZopY*DDz5_0VR-q7@V>r z4z#2`B{cX=g8<Y9GX65L`MR;PPR6)kMR$aui4zpnqdpsL5+DbYp_c=kt2EG0*(d$$ zhadMjx(E*v^0;Ak*UjEtT&+LVaC$71nlDs~a<c(=5W>}7VHPu-R_2w2lxm82IA4Xe zJuSLAYJTzRIz89twPH#sKq#hl<6e0>lXr`7sQKC}9n#ywILL3%S2EvFWLL_l?mdD8 z@mpguY&|legEuG*RBHFB*}E=hDN`>nGq1)S+P+U;rKl%TR@|kS29;p?&&AWPj7fTg z0J2x~ixcwHZGF|sX~i@tf0LDy`z%PO_+|F!_FSApI+V(xvZkch0{3Yi`J~_@ucDIt z$tXgl=7W2%V?!hNRk!=k;W{mbvaYqZQ#o7x3mc`}NU1=9Dh-f~xQ@Mu!NCjGzV23e zK3|by&r*?DI@D?NwBX*3s+GdP_wqm7lE%UQ&zbRkPX^*&urRqjqGke_&ggjFypO)L zZS`n)$Rm{!VQ`nEz;F5wo)~z1GUgHnRqn%W)^r?|&&XopN#NXhdP-s~jG;mv==k`I zt#E!9ln-@9Gc-zK;;yjVdCJ)#LUow03$j*LcDvLMWfE4Kcz!l?ceXUY@~FbIMrw#8 z{O#%P2xxw7w?@4Wi5_sLl6SkK|E;PRar`H;rE}?LLWFPvO3y<hGRt!WtV2;F4I51e z;wOcgzShCrIMTK1$t*d=jRJz_Bi5zNky>gIdwwWxGO<EHGfE3!WHK##=TMIn5At%@ zwhmeV9Oyi$`PdWJdHHNxLoNS(w&(@?gU<lOJ;KhztN_`lZywEy>nYqDK^y?joNZ=f z{Dc<Fbs&?9EswNKK(BG5dR^wHRR@$U(y<j1D^EmD)N<pXL;IjD;L7SCpx3|-(9C{U ztk(#=Q*APy&-o(_`haQBBYH7&st(_1B(8brPyvw;u%u?wB2slqSPBO1Q>Lz(`8hEq zVJ!uBTkM(+jeAj~G-&mzW@*B~UX~NgVRd560(7Srn@?L~60_x#w)*GAW*ty<iVXs5 zt-wfwvTEO&;1aU6*ke!n0V~o0&xA1jaF5s{(id$@;=#!dmicRA>K1P%Eu-}*Gbd`M z@zBAXoCBi`Y|Xh7EI4`t;K03Ar4V63+2R@k{g89;pY#2k$k~_!59C?hJ5<HxDKOxM zODDVPe}+VL<%tWe$8)0QABOocO7KQ635%N!j5C`z%48G`uM`UZvjbX6gt1w3DKX*n zYh$nb50>{2R{kGs>EUon!dA+KAI6sHXKgW)C%wUZ+B%(qE$^^xK(94XL+rAy(k;G7 z#}JdlEk3JJOB|8q9w)GXh&F&99vN33ny`lnY8ukcB8XqwZrA~hAV3d3H*#eH(i3?# zZc~g4(1Iy|QJ(>KMc6>pF=+R1guSRO*fBPEt@u3<)&Lu7HwrTr)UB=y9v9e6V68<v zN~-I1t_#q(W=cQ47sp1r1~g%=(V6jkAg=;~yJnhi*PN*h*n#ZM#{o#VBXU&)#o)Dv zI+lH$>~%T&O{PCPi!FDXd)H*Cb=X&v0ga}W(75%cRlgOWoH}NOMh9&E^)%c@WLwr@ zUFC9sNdv-Z87wW-rag&ZL=V}MT7@0o;h5*2KncQ$z3sQmx}krb)OlCMNz-rn)>X*o z;=I#mH`beX;ET(G6UT+k&w|M9&}G^e$?1Um+qdP_eCTIWTb$>H%e3vMXXVb&KaLL} zQl3bgDd*iOuQ;L#pAc#N0NxMBck5eaQ5X@9&)~Ei+t}?DL$;|9+Sh)f2j<;akXPx~ zhENs$t_Q)^dzkRjAc=PTSIg)2h3QKh+4}~jzk{SO(DC>g`0nux+5MX7kGZwABON34 zZW_~yHWV>BjmY*F4;8~McK;+i>Fd+}8J#?Ta~wRQzdL~C9f9<g1<+@>y7|?d*WH%a z9SQ7p+%vq%dck?8{)B(0&YSb?FXh<&e0C%qb6g*c@t+3=+%Z%|6le{oBJkuJ=12(# zTs$NJVGR*($j0x(2OXnV9m0H*x&w*sxIr{Ph^N1MEypyd0G<LJrJXdmc8r(H@Ik`Q zZf_0bXP$u4dKesR;X7WzC+QrzE(p(u`0m9Ol2d+LoPBwg!nC>AEH$SU1wAEq1{mZl z>q0BQ`Zvd+$Qsj|V_xdK8-a>U2Y3%c?w_o{u&%h85d8k;4vM7bVKse2gn!3cv0rsL z5CdyEb_=;9@U&Zq&~U@GOqRq$Yn%V)dJ>nUT}Q?O*F({yXe6NbWg9k0rcJ*EsIu<Y z<r!i3_nqLy!-lexAciy$!4UeJ4u?%ceOJJQw_rn-nY1B9o!N*~6|x`dwGjgD=viD& zU;1+c96nwKe<GD+03I(y*Zq8S8g3Pw5NlxWcm_0d`;8UnI5RU>Xd+{jc_K-=3BAXR z<O8A&?Ky&@4XysWnQ{d4AN3nUdz!#`+JM6-Px%KNr}gL2gh02nc$U;GPKSsvRNTDZ zuONW?maj8n+6fB@^ajtL1Ap*j3o`N!uV1fk-jV4uwp4Vuzcl{LW@%Ypd}S^)><kMd z9(0y(xhK1b#UNtbQ}nd^aUH*7EQ5+?;Ea?H_z?WVF!rTc@e8<2O5yGS@Pl~Uj2>MD z`&USMb`j9b(n0v(y?cNW;jeP<lcxQ^ZM43OFopc58fSbx7=IO#95}Lh#;kw!N94TV zkl8oWvA-l7Z^ZSvRyVXZTDKa7T*;~X=8qsgvNZ`(V95e^(-v#GSHB1IQ!qX}RHG?* zUl?R5q3mdYH-OP%SORP3AzQPqObjb)g)l<bUV{uD;wOdm3>deS1)z3zqo6fn({F^` zr7wmx#pMxK=t@&X3}}Q>-*<0}U4Qk&WV_dwKd<4>^k_qL9ND>20G}=`FJR_a5RxnL z^aPvBH;s3f_V&N)ZF|Su37J`CNjhX*YnV);eK|$XtcKLed`Be8i};u{>0zZ#;2T-W z-j!DtIJ9f?B|Y!KGsH@ElT70!sHx>5FN}FaZ;;IhU7<CAQ+P;Rq-Y&4&A_%_BmM_h zVll=v&o0-$plER-E<_z*+3k`1v_tdM$q(U`#OQC#ErMgezd1EYLs&wNr8K=+2Qh8= z)TY3;_XBb>zhHmh{;S3#xF-{08h;eon!hR8kF>HBi$TUOSjSrJy8^oWSlJb@j2|;M znEz=U4x1tctSXc|YkIEJLy?|$W2A}61NAW4^@BBABlE*{DGOR@8lVV%6)a!(CLB(H zof7jRT%i}r$o@NJvODk6;Qflu<S9zj3RP{H<=F71`E#EJ*7dR&0otI5Irq6J+I8gK z{U1{@#fa+`n%TPg-&vvj{`$zJzXLI`eV%HN!PP22v@Acmz4mJ;>*uf|(Jqi1`mk<c z4_5guUipu)m0q<|NYQM4iAUmm4j55wUmh%raf3>9tK-Us0|v$rqp}$hi!8OJ1n{OF zTQht*<P=?0`4pR<TMhp<CFBO>=rn2S;oO8CXItno*6B@e>GJ6>&0X)^)uT$x*=37! zKTDB-bhw_Er~)bU$Dhuh(r}`1i%8jw#c34NDBfQ|`2?Pu|72$t9k0*z9GfFcMd$U! zSmGK*9=XrLRn_vDTA0d3W2<kC?abD?s{a&=7p$q=c~$&%$4n$|G|IA&Du0{X!QXA( zy34lbd6h3rx|X#EhSN~lrohBcCD&=82>><eE!p)Lvm34w^g&@1np5twWB)WYrtY^= zTsLp2Rosv84^%j?%8&4Bz>~4}L;`iV-fev@E7bOcqe{gNC%Eoc-{pUzfiwjDs^;hp zo8f0Q$}@dvm(iz?8C^3bHb*Xwp3$<GI+SRqr8!dQkEVwQ)_)f<9JN=%4Weq6CGChZ z0-bvQ7hCTfUD*>n`o^|x+qP}no@g?e_{6r8iEZ1qJ+U#do!rcKe)rw??s|Wm^XaZ? z?B3_Bz1FU-s#cQqV?<DF@8`g)<NR|r4NU)ZaIY$Yp<mI}(?>k`8)oyQKRn9g<b#vP zF^Zb2N{q#NBYLsp&-9|j0ba$Zi_^Kwz%la`4CU}yYoe$`7{gR%^zwf{I`zSueje1; zK|>OVW=XI-c5x*OJy#ki?YB}D#8(zbPE6&_5CGMr@C<$A*~)WA<es{iO0M^M3t?3s z-RQD3HRW|^fk<YeBLd+mS^Hv;N&yhVUc3Dd>U5Yz5ODc5$`GJLJvv$yxIiUys^Gj* zC1#|Mq!KJ`h9ySI4XIhRQtV+D)9b9D<)Oh>{}P_7;+3j`CY3OL+1<lP$8uyE%>8B| zV?aMg=!5Xe4|nM9miMkK)9&>Bf(g+m@QP{9oic7j!W^`3t$x1>&#J~SXtb|S(?D6c z40ACJC*pcx8OPn94%|aTlE%<7FjiT}<$Dj&fcF%%ZQa=8Jj_Y)j7puKrEp(`R@Wit zlEF%6^^HU|!Rr0cX>eQef>jeqv&9v4?*K@Syky4N2zi{UY59?u!Q7S%9`n*J&j;03 z7uDMaFY_oLpqf}mS|!MvUtV|Lm84w6vj}|R79mAaE(!>C^)WoK31nxkEMIu%+_S-4 zw38b(qP~3j$nb&t_xI#DC^7hbT)a@Sop(aGl`D<B`YKVsc7pv(pK8v*chXN|H~^?K z{;xp8QF5mcN=(!hCADH!>sLY%lDxA#N`cU=Hl{lgnJ)Sr2nk&WKzcv#-3xfd4F&@C zd<1kqcZ}HsTCZ!ok7}(Xl1of@-;RgtwYIVZUTTWx@u<c)vbjnE5x{;9eGl2$Numdv zy?h82V|VqTq_7=Vg-HTebP*Z?W&=#KW`h9*&qiPPI_e<N9j>yRBlmeGbO>fc>>KO` zEnnOy_NIkrFK-i~wYq>4ovXDalvfF!DToL}r^VEEj{g>Rfci<+IXV(@!Ti26Tpy6K z_-^y=%mwgrYt|7J0`UG~+}iok_cN-0ff`Pt7u137N?OOaCdT%C*1Y=sej0$F<&bi{ zc;xF7d-6)+7Vm}DW5NI<*m~nXM5fmx9)t&m&(39SNd!LZkO<OH>)?xr*QM+)iFsFu zwUWXkbyep{fbgUM3BHLtEegCvtt_TlQ^>WFB15+SQHciXlK@n#N@rbs88ZNlE-6iM z8>v@5HB~<`OmPc$DYiDvItSpOtVG*XqRd764MyAzJ)3~mPgT00=N5`wa22Mzbi=K1 zEXtq=vn4yXwVcyu0~xZc6ax9vfkM*~(jk!jD93^&5y`7VDwxG4b<jl3jv~CWQ6ke3 z4gmzgzi2xVcQQdNfMLNE#&HHe@@?8UPg2v94NH{re245n>Bbk>(gvW#(j8U?6~@5z z-9d#k?yv&ChQeaoK8^3o%hUy24<{T6$E_C<cRMGha5Yj3D0AvUeSdY{hy^FG1Q^~t zNUIUYA9hFVZT1J04}b?N_-K<UsbthDZhZzSx8&Fv<Q)<#n}&av-f>#+Qa;Dr!+{MB zl*$inbzGjPO;+v%P93oRdY8MwvtZ$vH|o=j(k_x?+YKqdx|owbE|?d1%$c=RBG<+& z3q^EG{cSy@kZ6Y#o(o#Kj&~k7$Vp)~abJ`b7y`>jLk`X@iELCt5LWJ|jf_<tv+PX- zA@z=<ZL)Fd#d2cJ%mO=TxGo3zHn$oVrTc*{J2_n?zM@D%W*Ptm<)?^r%iUQVwjpE_ zKXu90BFZiWWj=1(t@15&4fY=B3fZDJy$@!m;syd=KXRq}b@w7HA)ocFi{L8*x24;c zla+ScAp3|4AJWy8iVEReEhwQV#|ATA8~&RVKg>QR>z;4B6S-YSb0qZbv`fw?1}iYF zY^`Mm;*9UKQ8pkAh@6nT<YL_Qsa1`{%Z8m)7p1Fs2+WrM4n8P|8n6rvNs5U02a6^( zS{a||G{*>G$=sDNp1!_J$c78Q1|0Ea)rfFL!NF~GS$2^mYDViT4%#}>kGvP1ijG_F zgbUiiAZo-QaTL;6L>4%JJpC)AyG6+<(}KCufD-+MT@A1v$3>0JKtq00RV=)$sH_r| zexwWZ8>ucA)Kk{fFBEyU2lf@{wl-W)6Yd7R;!t{A@vbsC&;v@CahOGQ4mgMN6Aoxa z9uyQx#nk@l)#njq*R+Z`=_F^R!eGr8infN?*C>fH9qB7T6Tj<@BR9^9m>zqG847Jp z_8lpD4h>NBwcoRX4bOKLKeRG$jV({0l{>gX-JRQtysh7|%O1`M+aazA(r9P?nD?Qs zLU$%hj<M7(t;JxfvGP*}uYylEJixnnp!WrS)GXJql(`4VBrXRH{9Pd|fTKCim}skH zr&c{I5mc^#Y*Lw{sC8Rsrbf0XQ+yv}hH;VQX#s#e78iTT<~W0U?~J$4%N|{l8-ND= zssbwO$JUVI2N4ZoeVhqkN64<iQZYXMX@hsx)rtoBt`OC)cuBDLj8Mux@mlIsvo`K5 zaOYSf+r@%*N6U^WpsQle#rIGMf#EzX9}U_)*CT{s!wvB!6Ghw>Of}fswa^pK2;J&e z><1t=L~&M^5Az#DW#K;7l!2SoCu~Js)R)iGWpSpf5Hc8*b>SDMKzRLH(8gJ8ZqC;l z(JE}urUFnTU2=tnf}1#Qk~u2MG@XTP7R~psVaeGdc+9WvXvrZkg2tF;su!>vf6*AO zTc10C1o-$6yF&~k#%;|fz)Lm34m)3^0WRSg+u2>}Hi)=}2hY!lY?9lwH}Myyh~bKc zch8w#Km_xB2mvOw_U{KRixl9NknCqr84!KbR7f9*KyAK)Um^XK4R4K@fh8<lj}OI2 zsr`c2e#qGZ=2+e`^Mt$9`@ew=;}C3!nB)_-4}&RW`~_UL<UyF2#d$^UlPYN90Srv) z>Y|l2RPs;Rg=Jp0B=-^0=m)yWtd;35aR;Atl_f_yu#~zgyvRyj$vW2b=0`YWn1GzJ zO+s_N#n-1KvWscQ_I^S-jTVI7gg+qU&K%A;iSF7+(gpAPV$Nme+{{{-Ef}fxLVj00 zw%xMtZ=NqPtAh<&#RSh%I-6t~fN?{8Kwxn((eroL89uR}eT2>`{&Po_QwTk|D71Og zLw&QnPn*ic@Q*4x*VH%UZwYNC1#&WZ+voB3&Rqff(iA`6?-<^7FJtSis6Dr^8;e2t za$^L5Z$kyj!ShRFs+GKdpJ#32<MRs&5)LhD{ok<$fWN^8a|<7TgAG{i-u}fJxWB@5 z0myH>{ze$2M0`aUe4A4A3ZD=ZfmKi^G+O2LRe^8fDvDIP84q|$i7|aanW$0fi@P`X zGRc&(FvrU6hd8<=yar~J>W{gu;HxT_@sD=?L!435@5icPBVO)9T%A3|PgOUeAw>pI z-NeosJ}*byX<QB%1Lfxd140e-2|0u^?OB+Rv(5rF5EZ8@l5znKnC^c_62y7<7_>p8 zxa!DcVA_Q3C8G^w5bj>aa83wX#aqC2HInD8NycBP#a{`-Un#|3vE{AdbTx9Q?7?x> zn5(Ie56(<tJF6%#wj_t=rL|tsmhP9ClKCH9(U<PLqQKgcT>eY9sx3V~C6oTut)V{t ze^lm{<n&*v-`djSQ!+YVs=E64<jkaouMz*M5+>wG61L^Q5^m%O0jRvR+>Q0|s{ap+ zUpedQJCF5+t_zo3xmJ79`jt;6c1qk19bGU|`)93<5G0TMxbZTfz62Csf>I;6VOQs` z3QKCg_A2b!MB03P17=euYq6y@qn)Uw!t|WMO7c`H!P<P<e+?GD8r(738UEFD18Ooe zx%p*3`yN~m@95rP*EX={P1kt8bA5?8-2RDn|8+?CuS3nZEN1?uY1WXxV-)`rb!PoH zgsbPj_Lu+K4_nH`e0!eQ`8(>}KhfI1_EFjYwQuqnx&y3iPUB6@b=Pcs$#_5g8?iBt ze)?@Z>nj!4_g*zHm8kAS_8#joLDY}=g8kq@jV#qR7xL%HgdAF^U?KY3(CDWR=1S%k zxa+@uf2)(6^UwJ5T;I9c?<v!r5AV*FvUBV)tHtW4G8Eh0$kTs*iFT8$4!@QPQ2jf- z0o^VA>>2CP{FFhBK_MoXY6TIC)DN5hw#m|l3E}8TVTB1{g-Kz9UjZG=knXl{Ag8G( zN_}E15X^+$sRBc46Ox2Wbfz?i`G}>`{{dQBl_oZV?XP}B2uJlVc>jUpADI7v4v?<m zSCGdX`g|-(Gn*Rz1(1JOo%yd;H<Jjn4;>}^HA7v%e-k<T{|6So|8N8N4|P68YD7Dh za3%sTv4^Zhf3f}#bN?{HS_s0;XNq(?8#0l}jQ)jp*_m&O-hhOS2x6i6M3Hf{?G?1` z0krJ}wCzUf9$Z!5=3t;2O=euKl~OE_)M&Od6*%g@Q2Gaje~_D?>ggIl>TxMBRy2|7 z{es{>F#ZGKKOmY&0J{<e0~L|Nw<C(ee1Z2L0PP6>stBwwHCs$zO!(F-wpc2EVfhcH z|6uqJx~a;(nL)(9CsO@YeX;&ui2eure^BU)1UVyx1D2D-c|ZzAgOg0ZGr5}XXKu(1 znc8a8tiFCi=BZ8#=+(93FuGbzhX?%sJOhj_jah+eKx;wj>rqysw3PoMO-sr4%NoUB zb-mNFb7nlMh{vwuXwn?=FF%Rg-P>dX*0HNVU_(E+WK1XBfIXgaInd^zUq@>HXHX?} zPNgNihfzw?KG8J41R@bOpGc|)SIL*j2+;m*u9Wy9K$rkLtXrAw);CzKXY;r4n;b1> zG!aiYa~KOgv6>t!HL3Rt49_Byv9zwwaa_84HO(`E;f|<#STylYWJgl-;k%Fu3v5PF z7jlEL6oX2{%58w^k7XovIBlkZ;+l_ndcUgJC!G9p_L<-9>k}8xB?C)P-xX{cqXA7s zx!TF(SCmGdGy;B5df|q#kz=2BvPPE=A3x}TL4O$v5Tla9<>WjvqEjOI0klOpbnt|t z9t<Z?9AB@;Db2h<pmVnQ>wh1vo%u9Mb>@c4LPXIOetUJ(RhyyL6AS5TWq>V=6hmXT zq)tMoasuaTr}pJ{Q1SyYL!`#)yaHscb4$SdGrKfF^cYyIbU)Qm63N8+?2+-Gf^-2+ z%+H5pV?UmKh6rk62zi#XUhmi=-^Ur;f8@i>W)^vy*LO8YPZKDI*q4cn`O*O$zq3N4 zzmT;x(Z@<kKRCerZdR<IBi6*6E<6UwmMRs(OiR&{Srn%(E0I7gq#*(D3fgzYpXI%x z<xX#^8DXE@<3B2e$tPAQTo4`s5_i}~61dc@$X<0~`|Wlds3e#d?CSO3aS;&KzXf(& zss@SFDH3v1k+qlcrLfzs+{&Prh&{xrw(?M0FU<_+mCcM~Sx$bJt`F|=QV$Z5$z(_2 z1o1RJHo3fz7(q2erxF9)7^L<mj?(P6k_F9hj?yTF?q9P)1~t@0|M;@FaG0k;xhoZe zL`h{i(`u4VlUoONk!$)%Q03O;)mKFEF<P{zwTI0tUp`bLj?#KEK*Ymq9MwOksQ9zK zOl*CylX$b%=w+@mE?;2mK0nPEqQ8uE0JN$&KD^eGbK$)jYG450%r>3r)N!ZHCovxk z%)oKtI_0#h6vomR_wUDP?rOK5Z}g_Th#B2Aa-%w@QjX5;zwce}AD0)rE(h=5tKO!8 zz?aNxn-zagJg!`Pk04Zbj_j&~adTA#@_cOo0Ut5Yrtbx@&zMqOA#HlaG<?vphn{oL zLzp|hZGTPCznK6yVCV6|dzs&Z)<K5L#%_LF)#Nyp{dMOqX~}7xk+JhS`I-%prkPhc zL8OTMiv`V3QTfskISsN}A1y8#DyPEib)NuZR<iN28g_JV!`udROm1uj!kftJb2XMv z>>?-1F4#s@AvnCt)xeLZ=GxdxbNGS>HZXF*a^Me9<Ea)PAoo|_z^TOlwxT#&wnCro zV5CQFAHju1aF8A6i*%r&KVGH%@wa?4RkyNuBkzDIBa(Wkf)N?iM|Yt`x6R?op~1-L zyU=%*8B%s}^W&oTxTYx6a)D|8IZKT#ISZTNXaCS8g^f0xr7j$nSQOg8e0-=5b1&q~ z`nm)FCEl$H+1FD_B*&D|4?{JA;~C4m1`dZ;&s|S)0~*R{U$=vdYx3OaX_Z3#8sR(( z#c5e|?gHJtK%|tm)^jK)f1S?{i~codGLPj=r1d{h`+BQ#jlH#K9D@bgXqJ4oGPNw` zZz?xc2{gI5Gkx^eFn{#N`0#HzD3V{U1)0tb{u3}<s_W9!7@a7FNVUfd9$Bn2lSpXP z0lO>p@2Vu`)c(t!;^Y4IyCq79WX}x_{757h9#RsZ2nN1>7rJLEu6=1%J-y&eGv4F! z?~!|Mvdz7Y5nJ?>OGqff1spYc`aO7snf4Fas1a($`0%0s-M;Ynd_O5r7eKmrCEZ-} zeBGuZnit`$?*Np{HJAt+QkZ=kGm`k0BpVU3_tsR803`;kDIs@lB+WxIYW|Q>HY(~} zO-P281|s><K<d+jfv<V_!`X+-bQp8%xjxXi#<}i=3Lel?mz+W*jc-x<!lOy}5^%Wb zba;*!UVh#Lj!_0`ge5QN2B3MRu)<peZ6aiYj*?<Te{`&QI0I`DNS3Wt`@_K51Usd> zJ434=oF#ADdw+neY(){xt^+svNHnoxwVpI|B=H<LKQLht!ibUAWHcwG9V*<M#06sI zXuyPbMN)w-KfLo`AeDeEqBJ}YI9+q?)-FeEEDILFz=)B%_U!7C5`begzcK`_wg-%b zK75UlM`X1ImBtjb-dum~OyJ|bN#D$yi&p^_mykNIUF}?eZlX_9NwOq=Gl1$%9@r{9 zVQr1=S2vst9_!ALxlf(E#NQ%sG9{(Eq=I37d$KZxHi$1>)Y5{S8qutfr!bDIOy%ij z8BUW$rs?0a5OATo4XE>C+o*-5T$xp}l{jssA-Z~95Rzv0cmPYV5#qE=%LjUNF-LJ) z-IpCz8)M;6^U%U?fZ0rtyTKOfedK&W>wa~auJ_q^iNd?KyjWWxJG?t)1&M|kq1z0f zVH;KDTX}tOjii^fE(}SUSUX8(IIzkIgp)`4?%FXbd`!Te1MmzY9;IydL$PNhW42@y z!gg5@8roG43nNplZK3nn%npgbqSZBON2&@m4K1LU?;nTalKJ)9^Y@?wIUWaf0X?mU z?BJ2>2d~V_`F!d)N~*Z6(=0pe(ujK@&tw)JhCt>?>)FSC@e_U&ub@_bvBuJz>eain z;&lpnXR4-oEuif1+dxL|PsLIS<YIkY>fdVCS0E0gE$TY#&u~=6?^8v##+usdh3W+` zlltX>n!@N)x|+~06*Mxd-4e1w1;Br-Z&_4OroehPK;5A~D)tNMAVjDz!xZWbT=UwC z_Z!3*$(c2`;auu5P%K*UTZ$RTR^~O7WIjkV#PE=mOaV334dLE-o@zPyA@Q`8fB(uk zf!msrXYWrygBKEgT6XtIZobYB+;vK^2p#rRS_ROLZc6_A{Ga16FbU(?yLZxkn1r7w z(K%$ttR^WYk9`6ui0#^i>SqT=L`VzdZ_9C>Td>)ph3XX%C^v36Z7YU_=<E>5cp8_G z<Q+FB03{I^U4H?7{IO9}%OcUl*%}z|M-{WU4Va{ag(-<%I#z2+5Av>@js=T{v*;<H zwgcB+Ko{1)@UM*IoUw%PGo~z({AW84#uglj&RHpGu;DHxW?n6?<wMYkd!Q^A(|;ce z{F0!N;qEe5{O<Ny-G4qlym0P<6RH3^jqPs$RkwTHw+@KU9Ay$Sy~FWy*Tyc`=B?cK zrG8tgmR&_Pf`uA+EItYLRvuof>u{(Ci5cN<H}32FyihNj!xzHmrhupGvu*Pq-8$WP zQ-p+@agRF=mbVAIOywaVU&d4qmZCnnl^$GJy?6n$vppSv%WqrH_xgZi>8aJHue1OF zK@+;GVF6SRQ0scGmHO{2cy@p<D-D5NVvZ4VJ_@Mkosz#W$H$k+W8M!1>~2St9P-&_ zW)Z}_R-vy4?p2KDB%fV)BmrN_D^ngi6SM;Sw3c(gY=HCb8zs578-dZn2hjmQG)v^m zutKmN$0EFmpkiuN%`>W0!&%1WxIYHCuAqkW&XpPqEW*1h#K(rvq0J)(cSn;7_Uo$4 z9}>!Tjx)}=N3?;vJ3+;U7`^Urkx0;pL;;KU%7GK`&=K`FZpuX@EGZ<KHjrZ8W(CH% zT8TA)iHW*jygF+I#fErr3xGiIA`uD~R)m=AFodZl2mL0>=$C@`V{CZ#(jyZvtpe?$ z2^sT4JlT<$9xCa&_a#hLX@n-&9Lq}69vWm-RgXKqqP7vvk4%$nkH`?d&MqGgv`H<Q z$yYvi@on6=aY=HuQB#6@ElO;N^ZYxlA0(>(jX3+I`=(SI<G6(5mql5K6(L=IS8+Xv zkDjx<n332PCjm9|#=)A1=L!S><@JaYX3^ojuo#?)L3@8Xd$bS;9L{VOBWO-~W-i)- z6?FI(`xJ&1LdHnF7a-tFjx6?lW9(@>6jUCNRt>Qs#c@i`1)I(@GO+~ZN0!1kZ@jeZ z43<uswvpfnm(JprhDFs!G(I*~aK#d*7b!enRL>wNky{#ejE@#3E;A3XWs$ae{RRyY z_L$K3x?x0Dg&T*~w)vR9tjIus=U0SL$14{tY=X$<9=jfpa?v}AKCFj0)%6}nkg?h8 za=ncVA#a<~S_Kt!$R*3~7AVRx$6}l0<`~|)8Nm8<2Hlm|HL!{eB2PcU$JL)x3K|Ak z428$XC7MGWFcI(_;|dR;g0CvY$2GXU+e-?U&Ov{>jSM3%FZFk*?|kG0874K4+i(V~ zK2wd>+7`MTv}Obu^|7@fGJIUrG?Vw+XKQ7AEpmbtf7ItC%#<+)bK3ipCbffw6Cg`J zi>MlY{+Y`Z3Kac0CH+8(4AYs$s4Y$fGO12hZ;HP_-C;3776A}Q150-oOFK28JGN2Y z^&=&yTTV2q-%L28!-kmT%Ukf2kh9V$wBs+Na=E3bn+Z0aSt=X|l5n=#jXk5sM)imb zBcsW=N>}zXL(jX4S1&<9R0s!h=I45CqCsS+|DjQwZ+nVTjcz=1tD~}oojcROQi>Sk zcs$kO()CTL7z@DGSv)X@-H}x|en=~tQwp9oZG^@4gDCAAn%|*aLgf*hUlCm0{nw(y zS_?jO>p+y?7?|AxzkWw^SnWU&Rn$3kvc6pIER;)0W~q*Bxs>=&-`=(1?XPYaX3P|p z#HNTZ1bk__#zBaeF%bDv4O@kyH;;FO|7HEOWs3%I%s@cW5$Tr9mMlX;HkO~p=nEc4 z1zSQ=Dw!ys!Uu$L+KHM6gVb;q5dhZjJ@?A1F7JW4pxQ+*I}pD@mZ06NA3KS-c#!~* z0)@UKXiHInObp|hbk`qu49~omvHizt+uoK7SqN?YVM@S#g8!M?M7;iwr42ANNdAAD z+WuPF;v4>2+Sa7CK3{jwPO6+d-e9`D!PmP;)(HgY?e{A0U-RJ@FNb5X@m+Srgw0|A zBLputA()#v%OR$`p*lr97v0^}pOM#j?vhqqVvqA=cLCB;Ft%LVwIE}^eCm8j8rp<^ zq8Qu*7^=By+Yp$)*X+0;^*tlrj7qbk6(B)W*xJE~jb*In@6@bi1rnGUw4-u;n)m0( zFCtxC@0>Vw>RtBzeGe|3T`hI2U9Y-WGTv!`{T1|*3bAqJwf~%Xl_%JC2xf2jxMogF z6qoIH1Hy!`_0hJ$FMvk!_eFVu)RD?~?iN6X#??NO^V~snpz&rnQMkPNj)>{=_-Or= zP8V*oVCq8%ZS{5<!OeR2;o}+`*ZW&{_0i7TM@&G80_RI>j><WRRp8a<N^vX<iv`*? z3V4yq7L+{-zGxd1Lj03brmHKG>NZY|CtbpLyVq}J0Cv@qo(2>4O}&f;%h<i+h&RAW zrp$T&Ky57E{o!7mp<c)rOL>fgz}`q<!;5?{jH?pmMWu(~5RrI^g&q?xoa1*&|8=}_ z&S-Ld&d=Wgg@`AIeKQ`mT0c%kHoP{1k=y{8^~}k9n08+YI{g_r>t91D2|Kt_$&nhi zqt(o&2$xUEuQ*9n{Gnx(Njzv!u6_Xsy?x>Pe^pv1&Vm>wJH;;>l``bHW4C@YHtM;= zleQFynl>o{lMPT-jLONmab_bE#;OP5lhszRR&dn#jq<Gq{7?DQDZZT<YMZnY%|ObD z5B7;Ltl{+}fK*LenN|Hp;>pa%z(l8@DK!XHhs1W59J1E47j_u*>x;X*a~lv;nFv?C zbXS;=R{cG!EzcJ|#aZ&+8Ii%Z{g*J_&x{ozc7)!SJ$SX7$Bai=W*(?omV+C`%iYUd zt*+i>w=T-?{eCD~)W9%830-(7UkYNe0lqxdf%pB#gf$d#qDoZ6VUwWBn$&=~03{S# zi_W**Yg#bWv?RuNn3$etCn+l<J-y}$MX|1S-ZKT9or9cZ*tPsFEQg+!KXkU{(-EqZ z?!euTgiMTR8V0=`RGSzd9k;s;ZSFge=o1lE=f3EN4wo@Pm%WxHH280O4xfVm-?a4L z)cJL-tUy5O;t6slcz|!;aa!xHetyDiaQ(1trg2RLesgtI6=!nd8eXB}8ofwJNbh5E zZHe#$<#MXJ{CHfWkFp?(DzG-rE<5U<w6E#EYuDNEz`dWkK>a*Bt1GXbXAk(4JmbhL zE}x~ythsk%*SBA}w}SuFn)pqoujp_@Y4>jWm2Ls$dOA}-x)adS{XWKFIIlh?arKj< z^OwEEuM-mlvTDpnTrUlhcNnGX*Y;VLspQA<1Cz|UL3l67_;rV(`jbOQm_}`&k!&G$ z{K~`mXZoBUuNAyo1<gb!CWBQ&tQ-dG8&QV|?6Q)l)@`d>?s>|tKV1*=+g&>GqEwT8 za3{6ufH`7##}@%6aAkHV#@YK8%)bg~AD%GzOd~7j<t<vxxL3W*v%jWFoWv||pS640 zqJHJe+@fO%Wi*6~j7;uHlhdRE_Do|IamF)FvcJ&0ee?8dxW#;X{ML{jUFDZeu@+5| zu<tvTk&(FYRCnvreqQ&m?D9tWYf}o-pF?kBy7mOC;SS(dt5diK|H}2wkxsYL?9vD! z=^hM0yP!w8#dS?aI<>3gQbYJ%H1g8#-OE(OD>=vWv^ruD0uJ~_ux|Qv66T;Qt!fF^ z`Ud4W#Wl}xrTQyhVyJmlZO2x{?+DD5!@XIHw<P|&d4@wu%2O8s>5HZ2QI|f04u1Kn z)gw>k^Ctkf=PWiH1LRf0&zTBIAHvF{E|+eJXBN|sc<u=59bAYn$FR8{;WINhD=6Ju z`#u4kN=oaN-p8&pjL6`;wiKX7nss1T+`4o-pvdAKLQULx8#WFPzeC9H&ZNtB^*=|v zkFy!8Fd}}ZzrO^-&ylkw-{67;6N_cN-hX_22pR%9L}0!%ygn|vBv34)ZblI2MQT!u zaWlcT8!9BaHGl3_<J;v{B#6(HXXVSnRqF(l7o+wWwHy6H^NN#{p2exYS@OH!*Yz5v znA+Q!v~i-8X8<|y>P#)96td}TF%)*02l2pIdLS0?-K~h&!RqIEn)2!;dV0rv(6?K3 z@jnCvT^8ypSRw_p)`QqUyoT$Gz8wL3c_Rk;&|P4=ygr-scSUzyV@MGeox;Op<^tU? zzE)rQYzrD%ZjsM^^gG`}yhC<Uf5(6Du6;pe7S~(b+R^ud*hd-At)Ir)WkIoRdaQo6 zhVlOO^E?Gc>xJ<+wJsY5pDY=TCM-2J^qd|bx&0k+um7`Z(Uz0588QUYllcXDWW#5x zjq9{#eyYaPwU<Gs6X9!j%B4js50Z?p#Fk?ku2Rc_4U|{GCy~B@va3ST*w%<e9K`g> z>Nix~bQqGK1?@9r8}Jb6rrioZOFSdGajOB8Z}3!B0QiwLk2IxTy-VYtN(bg9W4J5; z;&whoI>@SY{2N`nXRmk@q{;OXRx#`GO2;ga%$qETdF4Zi)C1-`j(v(ZmaA4f`x%^K z4dVC3yzJl9gso8h`CGueo5OLHX#B9>pU`n=rVF~M&NjD|%)qL6w`4DqnYjFnd%fGH zNqI<|2lF%Wk!+h3f)e;KFrHxLg6$sw*`K2Z0vLZ(5<>w3#^2&v%wR(qMszTXS2%<C zo)86WjBT;F&yF}sLh=-gI5`31T+r?MdIrW@<83O!ZPzYy9k2aYs=3keu&?NcqNk<y z)a|rw)s!(yHpu(a7lZAxPqQC9>05cYx5@o%#m$U}$=xM-Zoh8D(w?tzA)qk<c@~G0 zb=+pSP!2$8GsI|HqolJe`hG`OBfck``D2i-fZSCdeG_p^EPV%!N7G8(DPybkBo=EE zQ4ud;=gB@+bO1fsAVV>Z6h}bgEj!?$<y;eM*tcnX5O2uT_G0w7sV{&y(NZFjU+vBW ztAR=*$Vnc98kW+ZswLj%C7d2Gcoj5LP0S)x^!?7dQ1<pXFyA9hF^7|u<h>EV{~WK) zfcsQhWb9tdM`f3AZ!Ua*F6O<+MB-->gO==G*s7lUv(%R0V}b!gyKKsl#_3a##e=R> zU9Lin^pJzEOa-~W6GyA3*iD1W2V?Kof-(c(vy%2*bKv$j;<rF@ubw-=jYyCtlMU(# zPCu3l{%mzztzd{|_!5=aY1C8B7y~SiB}d2@Yip=SVRfSr@MLTKUb8|yQf{Tra8fk$ z@y98_;bCmb=d%br+^3h{tU>`&R`Tbbrba?;ILmAJ4Bqdv(?Td=KECl$P89Fx)?NmN z9JBtxkL|inpBpk@s@+h)Ie&$Jq{d$LJo5^qqza{7UAm8K0`p-MvY1|NVqEK_CeRUR z)qt<RG*jeHVv%M)h!`QMv|lLe{DhQY(n3u*{<XR*2Eyo~ji1ki4=5p28KE2=pom2i z;mn{G=PKkcih}k0OFN{Evwt2w5E7rP-){juaELqs8N0ogUPH}*@hKux#;WJHLkNu| zm|0-3+mNT-o0slL;6LLaDDy34Tp;~8Ld?xXG}^R@Uf0G`DK0&O4n<61m=kUhB8gO5 z-A;eNJFzL;xgKfQe6s@Rr>ZOgHmmyYT75X`9M&kJN#%ks_{q#5-g4;N5D0d01_B`@ zf5JprTL)&343bX)U=^EJawE^5>42Cwqp+OYn!qrs3ORrMD%A&AXFx#0PuqC}r=qkV z$`Q!p&%HZ`8n2q*dr>XzKyY7m3rMZ0fR%Z0tfDC`GL*GX|IN<v;8sbgVrmdlAgWp> zN%}}CsRs7}4EeBA)?+j9IK3%3rUfSLoJaIHf>-vnHKTmM_2EQ2MpL{xn6!9;5sYnV zIj-J`o`20M=?D1CSOTn9?}O2|c>Owl0X=(PAXum>)UQP2RrVw4AlT2s`9&bOug2<i zKX|MH5LOrDNY-ux`6tYn<ZY^-P;g^VX|bj&Ip$s9@vdOeBfT}~3fQ(>MjyH+54To8 zD?FzOABdF!S#l|q;D4kW2u~7gqWt(6)IzghCP8djv!B({-@g}oyI)s%HX#ZIYmN>t zaeG$0gB#W}o|y}C_=WMbeLsxQ*dR%_pRGT^s6Cp6KipMs8)VzqwVbEl6lorrvuEO~ znyXZpul%rUTWp@0JWRN^*+^&GNS`j!{SLc9qUGfa_}q?p>akexI4Hh2S@e9s!q>t{ zMRGx~mf-T@AjPw{48(CT`*kQEu^XaudJFCYRsUV;u_YJEAp0FQh4u)^;PFZ{$_lzD z&nq?<JCd^a1@oO3{eV61s(_lS)k+d!Ri5)aREF1e#=gaRSZ(zO<oSqvyF%fH%S`k} z!!NZ}KnTLB{agsPH39ws&@|plE-LzWt+cz9ag(c*%A-f)X3os*73?7{6RUnB9OfX# zfwLzEIH4|i<9ZndW+aqmULypEH12+b!be-Yq07B!8D^{D!Zpkq`L9hIg$t)&-)dLR zY*AU?Bp7mSRhjklK=}PFjeh4P@)Yl=@_H}61K3@IKYw8fn;}=;15oqx9Nu&(B|5ea z^Jl#bK-M~(xx77iW>9b@4D3;|C>|~_W{^EexpM02;EE6Ej?7rJ`N_&B;f5C2gZJxp zC_I<nJHMy9FgE82rd6fX&m!W*#LTnXk5iiby1d%Ac!^agipa2>l4Kb=*f8VMjr<hD z0x-Vrz){v8jEdxDN<^GmedVOqn8e$J=jkTD{3MK2bc6~3m^Ce(2q)~YS$cbNW@>~R zZt!s9GkAP3T9GYs*7O!icAPfm7kGcf=<r^UV~&c{XJ5fFo6-ZzyxJnZsKG#K<;_H_ z5%n(+i>4e@kmV$aWXk{pv%?(G8v)Ku0F0LB_Z}R*hr4E66qz3wE=ZF3^Mr7WRZ7e5 zNisMEBBVu4l?YaaO0!Mi;Q8MML4TY5A?vzg+-%}8l7=YwAMqYp$G7iz@+di|D@_^E zvrSHUjj792I0cF1^28dOy>Y1gyI(sAW-yUq9N4X$dU?Q+V(qQCf=})T03@F9m>41D zmI)QEmYP)Z@ifZt&?MI1;OuClINgCsIc(BLK04$*DB#sp+&m%iEfM!v{sLwNg8Z2p zpz*2Wr~9ra!tvAOZ!Z>ULaSK?oN?Vway=}5T-vYcWkf_k<bLuu-br=@MBcYSuI;$v zE!3E}@MQd)qtJuUxcqVnfXn4kHUi3@URt~QR1HG51opa&f!h5xfZ8_xUPA>LG=<&w zB6*l&bQ_L)=`-CA+Uo#Ql``OLMH4;L0+}=21zK6X&Zg$fD5t_{hT7Ue2%+C)+=iKw zzmi;c@H~+V`T2SKak=`q6}o(e69Nnn7rypSRm3H_*J9FM)KwC40WCJ$?!UH60{LAP zbUhnAyj)7?GOjIaui=&(erO<!Zz(t=piR5?q$}6qRVN&5jMyUaiWC~(se9qZB|x2< zdWtlwp8C{FG0d$ET8t^K)k|_ACDIJ}*ABf3>TvbD^J#Ql-07SbyfU=*8LsSU7Z(e7 zt&nxjdb#}C4Yu|_0-OzQ&g@M>MK@B%zE=w_wRBeW=~YVH-g5;-9VUJG2b}(BmqxU- zWJ;4_YTVAq0|TD3P@-VuTI9`+)Ifb0u`W%0l*MDpDBe=x%HGP1v3yKm!}bX}ACPwL zYRQaFIN`JI7s&Q62>op^!C4NTb4WM)>kNMHs_Z6m`c#$U3Lq3ilfY#ylEVm)|5@bo zsyb(5NPfN~K6eS%=FQcz%A@FrvVxk><1GNGrYpaj(bUe6QR42R)x6`vz@y2|tFi7< zFVaATcdq|gaj>mX-r7o>9-xbk6B$jf5m`R-N26hc)U<o!k8WH?hY#)p{<p*WkE;ex z>!SnfI%CA$Sim5LKw-w5JDk(vrB4mZ4bCoT#zeD;aG*1n#3$&KRD$p+OvP-gRVV*t z&2T`%Q=r3w`72(B15kndZ9qVP_Y*82F?9eLqVwa~eXa{fXxh_r^?if@*W$<zL8sq9 zr$4A{*f976u1R7OEAZ*IUN{?;M!Z2KUPyfajbG?_2LOa3c?9g-@5NSst<l4OX8gP8 z2eLX93b~2|BRTZGrr7D^Vz|{d!GjH}Y#9+0o5gP~8ag(opKjbG!Ukl(eI+FzO3*8X z55eRt1T#_O{DY>#4<$HcQ$jhW+TTHxN^#ZX&T9~Rw*t0syD2-DE@#rN2E&F)zp44^ zgXCT@J^&&_nmszZ5CQaR=)(!{vp9El^nPLyHEIoFb}IO9z?YX9<vp!LB9V1u5)z5= z+e#H@s1T0C(gTl@Nr$zf{;DOSW)11UspGKBoHk{q!U$eGO!*2MY#>ru+lHOkHhi&3 zsi5nxA77{4Ncp_ZJkLnKAepu!(J`)&dVQ*%9|4xH&Wb(W>W!_<fPh99&F2V!L~ttw zjt%-Q0c1y+G5A~qDoxNt#I%I*^0<ZPwCRwGMO-k=(+5Do>?MJ;I#MN}VCV@se-@SI zA_Ejo8xTeMMrM-uzD~GtyJC^7tL^V6qlfBJ2>1k>nt@i%5hAitB0^H9LVDwIY#|av z|9ZGyhq+fm63zmzZCTd-J^@3b=p{gyD|k%%-3OE)_KO+iTRO115bYGBXE=LvQci@F ztJ$3kW(j$BlF)k@bsvp#wT5Zu>A@<^U=Hh<-T?$_LXi=p(Tom4wnPn7=r*PQkDOAv z^#QG-x*#+rMrLvC-z?F>X|ZyCjH^S3B&&cHO3)t-Q4oJ@ap7YZIFwa3I8ci6zQM_G zWU|J79Js@xB6!;M#*wbr@*8?JH<&^2pJn`9)vTfOgGIPnWfBDD$xK0t9}<i&x3313 zWj06L!_|X^XuP?q`tGOL>zY-}w0y@GL`@E`*@-Zq$ygdo73^xW(G4yp!nnkC<vRmF zEKzQ(2*VxaYrthVuRimF_fuyEz4O-OH$f1!!?h^5D{1&M@I-RceE0K*JL~}!ZuC*2 z4uCjM>4o?cE3BJYk|-STFmCj<h@hqg<u8r?h>@!qTMhgIalo;=gJ1`3W#(=VR#@1k zCO9&s0@T)60y0I%xIBi|<chmk5I{Q(T!U2x0e6tx62iT8w~>!L*^W+_X(wzEky7dm zjQiB|tQUyPXPhdn4%aA)X_{E}PHxnNicE8A0Z(EyNkvwbBhtdlDbI6<K*&mn;F>$* zX+*-~9tUCUPZ0#(5J@KbRJIUz=~ykiP#CauP&#<5p*$8b@gNORM0hepEZ})-UIJxc zS}Ai6{hieRk>M)lx4ck5II%deFqSe}PXn;{R|t(M<L^ThI=iXm!So_zf|}`t`1uPU z2^Oz-gpk}yq7B&(j-|clVb@FN5hLiseDtc%owqm9Y<>&RKd7`bR~@%u{8c-BDC4Fp z<SP4VQ|BnaX!2x0WFufoK7d@3c%|dtXuRUF)#dQuN<O(J@k-3<R3p@2xw|mAf5>wG zsO1v5BL|jgLM;+OFXq53js(d(^QLof#^9i{uuHSBm$I-^v#`^e@hF))-*teNi9xoC zWmzHNo00S~bkX05g@DB&l#<}bi}6$-orDt2A*&aV#!E?}li;I@@c@d#UF1+sT13eF z#nk+yZp?PM=42`g(c5i7;plxpiHK={6GOKUmZKXBc9Fu;ReZ6;$<kExNU*5~<Fid| z1b~IY#aOai$XIT|<VkE;!lXyRa^5B_$WVWYEy$r@rVXHy0*^7q#mU&ADBnuy?IUN4 zvBb6L$jg!yiZkQ64gnVUKzz{z%SK3RlO@kkl;@#l@}$(_Buwa|S|Hkh#3QA}Nt_U6 zeA9nQVcWV7P@}RZ0C8JW#mIe)LeiZ6b#9V!Wg_hUt$lag9~~MeX)vXRV9*{LQsCI; zoj*DZPGe$|6hhHN333$C!R5H416eyj;a0^Y*)5sSCC}$(1pw3#xrIm-MwW0%iZmrX zR~D3TQ3@M{QcUha(gZnbhP24U-Po{n^*`bo*CS*J;j$E@rCerthe;lBntUvy4ZHE9 zQnUzZC255QW*A>rRoh}lLY~aSUJFD-jG;!B%tKuZgvN}agaWH{I}9RYLM-XOE|oQ) zf((UIFaV;&1|S8_vEz!713G9_2}sli3a3(w)AkZkFGz-<ZUjP8E+J`r3M?C;hgY!& zrpi+ix4(u~KTs{$pUa`Z1S)t^6L+<at%DUprdKz^%r2yvlkJycOx8y8MIz7J$H+On zbeFKNg%*>igO@P@Ch8W_F{v$XgJ7=&A{tDfxsmA~0nl>bDks4Fchl9a-lP6Vl7+oh zE|Hm|`{uQB0Ljp{hEM_R-xp9&mwsJM5lN|=S=k7+Jbm#>C$3Q_N*oJJ?Cr0xMCJ5_ zND$w^qH-y!f*JX!^@1LK<mSejZw?$bD-3x}lrd^z-{`H@26G|KNh~}EN<)7K#>z*n z6!-%4k*gaWzcnMU>m}s<5U=UNaoLXa@agj$Apo=!%420od2-@bc3*tFeuPoVe{Ae? z#~^IRYpi)M5oR!b`tHFB>3Lyny#R2tKwx%26>n>;IA4`#7Sw9Zyf(|ULh^qg(7#mQ zJHv3oRV1A1zcm(p@aTJ0d5?AlZ;>86YCQuw82}-$v>)r8`XI--Pc*HqL)9z<gVo?W zRMb$nTdaL=KZzzv#o?c2Z^thVfvXLD2~p7y0KR%ppiI}0M8qQ&O|U80#QQQryNJF6 zgg{|}Kq$gM@!!K@SQxIaQ}mV0G417%6#w}42>(&ZYfCIaKnatCyuqB&_aZ%6^dkVk zuyp-86OqqE9-9RCbG=c<9trxrxYfOSL&Nx*`OBdCa<@_lZOIQ^{cK~>xd(yKBRdyd zJ<2q8PHNbus&wC%4zbGC^xw9%i_3=TU{W5mQW`x{WzSZ0G#a9T&N>m~ADf;{JMwCo z!j3!&M1|ZVR2LE_bGhxnBRe;S*U1HJv<5CW$v7WPzm~N8j(%2cf4&{o<Y+%sJm;V% zSUXGOe_z-MsU5ehW#kf4%f%A-NgJ%lAHHMSZ1S{_j|DhWqm$_f&rN7BvYq~+hLDuP zBtSXZGXq@ql^ifk%_*^A|Lbs%3@9$cp4dJ_$V!68Nt#(_A)Z+qV%9ttX>UmY4SNz& zjfhHMH4yQ``8r1zLKRRePXNg788S>{xh@saR99{v7mpOe?m0O&+5_89M^#@M7fBxV zHd48ui;g|r1SqyPk|_3w5+a3-*UAS$9ZUR=<fFCmrENCu^Ni+?65-hO5Su^=xSvA( z%${zxA1huP<G}c^s}?a|l5xNfs<7v&4jAtj+(@|X17)tJ>7d~hyhov&6)(j82(rYI zG=~*;Cm}8~H6BX3IJd!KNo9s9wn8VrfJb$x+oq6Rd8pgKI_R`)Yld#Zna@}CQ@J!? z?tTx5kzW2dh-r%M_xvp!1kaRWd`@<!o40SLAe-aQZte&oJz9O<EiC}U9!WGeui{Y3 zhMx|zq-G*aA*2vkf50VGQ&0N2wI1t6KoxYL$fy@rm<je{CYou#hxcC${&uItM);0B z$zTk8ztZhXfRWcG4Wfk3JR*<@JW&w;xKaP;mak+9l~A_Y-#-8IEoNs<4~Tr(O@9@P zL2q>x3`W}_g2u7+G{q3`b7$f?#I6!*Z48d3U-ddq@|Dejr2YgQNxE!@WWM_?ah`ci zd`=ikv0kc1@jWA6mIP>&oFxD!UYYKoj>>;$D+2+*UT8d&u~X^>DaNd--HA6)p6Ppo zTjom~s{(frPtBURhi<4gWkQ3?40azL=wPuAtB_j#6hz~z;)4vB?f71NOi);EEH|@$ zDeK^#7OO;9;Hi^Uimmzhbg)qUOg%u?T8NMe3ypb!Wem34eC#SZttJY3gWXAVh!76L z41mQL2<Keq+ue)y`~Igdjpl-gH#dm@@&OffBd^Z_94RVw*&<#mX_72s*#cZC$&Bq+ zZ?s~!E;)85@1IA2h5Nc5B;ES@kLP(N>-VoC5BQ9Vt9~rX)a?>M!q=;s8P&K;00+F& z!C;*+Kle<uH=2<-u5%dqw^y2p99o3S&TiYx*!+BQW8iUH`_b)a-RIs>0u*J9#*Gzb zlqsd$6DP%C#p29_(o2dXjG!USL`Y&0ZL824SP8AK0TiwXAk=J05$fowoJsTv<CGBZ z#^r}({PHq#)0>CLdbQkddy#gYg@7e%Ws9_FWpixPtD)2<aCbW|G*%lg^g}&R5sUvl zjmP2GeuL-&GyYC<C6@>crCbxaqh152pc0#JeXf3hWd64=giC9~1Ig(B?pVha$c?vq z4ww{tQx^FVlHoaD5d0d^xc}|6pW5Io)DuU!J|h4OjUm1}08`~QEhSS6;V@1SiOh-? zXwVY8GqfNW%R;>@*H^u+vBdbnM2$#hfO4)sDR~H4p%wQKg%qUp8>K!f(^x$js@AoP z?p}$@_iQRfA-S?>>$wnybw|iG1UF=zQ@~yR8oe5qcC2#irea}0xrq=QRTHD2UeBP= z;h#7NxPgg5l=IfwEZrCx31u-=4ZyPs6?S^{(Gp^4{MG$B%rT-UH$v6$+4+JOr`BRE zJO`5|=iO1tIiQCh@+dQ+zWh<T8uC<g2&fl=0n-s2N3X!U1%QU13QMRl(QE#B1At=; z>qIJ_MN&m5)0Qws5t*6BxDX`=n>Z&6G$A792)ybp4D@Zy47_K8-Vs|*Mgci=MM0=$ zaN4QrgJhg;MA|-YJXf3no&c9zWK`rOY-jTG-w4+DwdL@YC5y0z;Ev|jfDazFNo^z( z;?dBEf0oGt4gZqRqg^f-(?&*&6z~%h*lx^KZ%vTl8+F=?!>np7ZfiD_kt*BDpVb)L zE~E6FZ>Aj1h{6O1AIReO?=2dSLA`KJxH}W{d@EYKR8a_tfk72)c(nnT!K56dF&+FP z`=m`|F>e-7Cjw2IsC${6lQIOoe#dvsu~VQmMFBTzS~%O*=l)td1W0q=fdEU1v}MBg zaV=%)O;QX-wZ1-k#~hOq)@x!hoS~R@tav_$2Z2rn`w=IZ92sO>=-@FEy0&WCda6$7 z5R%i-)kw20m7yiuEr8G<$?edgL6aYx>9yH5o|5vn6jtbk%P0B>A5sHJGE77kKORum zM@I!1EO4n*cSQ#yiWwb~odAoWAIO}D9**u8IrW;G5NglxMtk!3ZYd9U>f6TMpyZ@m zuEYreRzFH?ogJ^HSStd}D;eM*ohln|Lk{*cWORFWgC?QjNTqvr!;Rh5n-rt>^<k^F zY=o@tMc{S%3qn>GbNd1aQM}5W8UV+zYHnx{O=({JE<nIrSHfOcu7G&2+Uva>(4U-b zWV`{qGEN-XaDsOpmB8yK9!~DF%!^oEjTe5&p*W7u9kqNVl2T4u!mh-$%)q<9G)Qw1 zpLQVqsdOt&+_h{R4W17&-3?68o{j4Sy-qj0{f-ug#)zOaPtf=G)jgu$_3rW?wow%H z_2AnqEt|^Skqd?&bpcZ^j)^6Sr`xsbjlH?PJ6hQam8Y0XjT)dD+Fe(uKN@3%869ko z>exxP4d-WTaN;YgVoQQt;)+l!+&X1A^H1=~l&3G|%{p=F2jSI5y0AB<&61YN@(kU! z%Gy6>1S&d&h=IFgeOBF<UmShRSHPXl)e)^)-Z)Gfam^|6O#y2>nDA@rnW53|Y@1AI zG<}k>n^fFznoR?)c*^;ulZfYb<G=YnkqR1|Xh`6e+i2zHS86q7L^)DePE<~Rs2sal z(C6E5FV0DbS?QUE1nt<3vLlsxN{cD2%T<Vk?1c%*_XTtkDx-%%bq2u?lnLNOTY=K| zfUOAi!`ArWr~*J%O08Hz;w!9#BmI#_y+PSfl2W1Q%)}{BN=hcgK+w_Cp>k$EoksFf zZ1VPYjE&li?~Fw4-SO#j4l)}v%h1^*aMiTo+1e^x#r#unLidM!q3Jmcl%t}JP*<w^ z_{;w$e)R05!Vv|GQsKY@z^QSf=<C3m)tNnD93j|P0EzcXw(`h@V04WSX!x>~;^M<9 zwyMarGL6MHYa}WjkUDh(%$v!k{7AJ_1n6aEe%NK^UmpuU>4gMRHyZ<WU0Jya$H@M1 z3gsc%!d5(XjufO5{J9_*t6wNI+#;}YKMKQa6kA<H1BUy%^Xkyi1soXvq$)Cv%$7`6 zL@|m2(zQk5Y%OqUYSY*kax9iG_e&;`mL`TsaHFJy$uQQasNAXPP#l%>!V#j2!K`Pt z7hR-Oa819V;z;ZD5J`tcptHrB4LY&=<|vfbp*MykA)W9~1j(Rjo*EPH+JqYKt}2D? z+Q>Tmzbdxg|ECfTnBP=NFK^4XZtObV?zqAP)=Qp<*Q5Z;8R2vQQQCiHU!-!&j#deZ zh<D|NG1!~}5Svoflm1Bs5-n9WEY~504<o^sgu)f2rV>Li>4j%>f>P=dBKZ!zBpOW{ zins8C<>m=|0-djc%CUqLww?l`SA7CSdy(q+aZEj24a~_9K!lD{4O-AE(OU;35y}Ka zKosSiORDU%jy;rsZ*~P_omh?+wtp}f{a;!4Z#n8;8CK@2e9&X&=rm4-%_rn`@E}d% z?v755%_rsN#7Q34<g7}LO<EE|^-~cAd!(EyY<uimyjdg>eBvu{7~KAkII*kUsh<EE zk=Qq2;{Qd}TL#7PeBZ<QBq4+lAUMH;2bbU$g1ZEF_r+P92@(kIZb24zSX_d$xVy8s zFYbSS-&fD`qNi^6RCjfC)zsYE=iGaKmPv`nQCBF6zr~1zDT&d)XlI_rCs|X4;^3KY zuJxl3h!DO-7A@?-=_cnf>|g?keDn{H(RUA-Gwl#T8lXh7`b4aXNR<*pgZDs99Xx-i zpweK%f{{vF0<ls&f1P$LbC(*`Ear#AA_tCmp3dR3{_w{7w`Z<u1F(#{Acd1#bq{Ze zEp&+RR{Rq)|HLjfK+le8g^cW7_z%ZaMpI?u(iDbxdn4k&?x!Lz(mA+6B(^S&i%#L- zkW(B?P5%m`+PlA>P=*%?UF;QQKLTOyp2OBdawfL6_7+tw$3HOXx<76U<@9z9o-vz2 zA%mUR;`e{Ms)=X;zT+ypdq@htoZyXN5{7eX<qDzUrGx|GUHA)u&7tY@G(x>reLz+( zrsGW>io%LV1VhfZoQ?OGJUm1@J!SnLU)y4*>z@~^i&_0ZK(sqUrGyv_UI)Bdd`tVU zB_3D#rt)oaLLJ2fy23A|LV7y#K<a;`AMuoL*4`#-^iy!W2TlXl!rzHk_{)9$Q2a?8 zLtfdaYrS&ZL25@`)_h!bc+euIL-bvj7B3UU(VAI%%LrbINc8EK8V%@seC5<i>Yh?K zUJTgb^^lEY%;zzFx6_YIjO&5Jqd%&DIyikuOaBeYk1o=3F#a$oYh)#jbC+|g=AaVG z`L7Tz`ucl+JR`sZ{DxFSADYd)%T19e6I6@H)?l(m`@!~iM44{jFG={g6;kfou*<KS zrHVc|s_xZ16*)LTqP&E;i5e6ts~g{O)ZM@CGh^PsPklnooGoL$;A0x`;E`-;knZ=N z3)}%CGHFV~6bvna|0aj&_S*u-^3#-Y0#LH$_i2{GJzna#5PBS{i^~_W605Yo&W@H; zwhbK-#mV~MS3m}hho&sFxWCJg)DQUM`B8~|08_Ly5&zvnEYYzN(mtM3xAG(!X3^58 zm=Dcn$-_rX1K-C>|B@?H{`UV7(e&X1r#T(n)J;jwrvQgYESwOI(n^O?awKg&Dpm&F z*Gwc>Ik?PI08d74G!qkxbQiZm0;)dBNdgZmisUItvZJeolOdKqpDLT|_3L-mI-M9( zje%t3!gwDk=V03WjKon-61_8Sbv&Wrn5MYXu<9I>x9&QZRo35pvp%A8ia}XrJYmXW z(27^2;yo4QLZTa%0jP<qG5J%66rqK1xqVrobcnwsa7`Eam5Sygm+3NtGHHo+R*n-c z5?3?6xccpfAKA5ejL~XS(43mTHjK45R15**TCYB+p+bs^0%TS7ReN)!Oc`QuBPHEL z&t>R*XZiiI^@l?j^uqb`UUyI3#;kU%yXLaC;JRkNAHX#$ceoaO?<bU`B9<%9gY)(- z&5jUD3rGSj5l8ekVmAIcCY)nNQXx<J3y#t4Kcc|&Ux0k4IH=ZZhC}{IWtO%bNF2}J zJ=*>Es4bayZ6iC)>JLt&Fm_?&$%@5C%{A%Z(tefbF;4>hPfoxSH8~kh^9fSST37rV zR*Yt{p`70SPkBG=cjW+m^6$TekfF}A&RP}gbl_#gI`j8mx}H)0@m;>H00&=Q?u2o? zae1Dg8>$eC5<7`wQ!e<gOmoo!xB8Dd&8{z%anX2nozMg|osM1yDZx154lvFOR@fOB zbAm1!f9rK?tqt_e1*3)*>O@UAX^urBLc0*2>KrIro{cP94z(|Y6FN1(2|bhHi(&#m z>2;r81i{JF8L<{$%U8)FR1K02q}K*g^fKK@xyq_o>D{!r`bB7xanj+#3G9v?mM-H; zxC?S`zD#fD@im1=UOQrQ^!uqQha7yc{_-a$pSa^j<}qQ$gWyH$h4~Y2d`jsNUT;20 zf4+}hybr1w?bueGf1!6BHCj#aAeU)8;Hj~gEv$zvr8JryqC#pzBu_qX>DpVDcSf8_ zC+=4l^Lj<XyPD?vChs@czyy+Yc0v(q_an|+Rs=oRXvslT;j4K)8scZFOeJ6}`X#0; z;MaHGVsu6HS9HBI%Le4aYRK=&6c1QmdL;kX3#N7Rq_eyVJXWGt$6v^b{s;UdTL0>k ztvbo9>1VNvqPX`Svf<Y8K|qw-U515jx*t`2ZO@0CB?G^gD0CNzLbrA$6MMv^kP=8Y zGjwfbRq%*uW&PHAsz+d_{}Q*M%`hZ5xi7A5Z=Jo4se00*lTrW3Lclbp?4HnKNE686 zS!|I|yo*Udh;&+b|J3p;qZ;@pn#Gsqdhv&S!oGDo=L}<l9!b6WCk_hhuONKmS02I^ zpDM`Tz;W-SX3*ODCrkSz*Nt(uOC;C^mX(tNK7PBij`ouR5x<EzME(;DWGL)cOw8&- za^(l|&o9ltkrmJmsgJRIegQhono^nD+l+H>1Is4SUUzDwSv9Q~A;JL1CVj=7de~i` zXWR-&Cwcil)2#Vq4%u>A7*EFV+{$(zmL|*mO8xu;kyr9a{(11@*~YPHlYmI~o_Ab% zZ`iE>iTw*iS}4PFCH_Z6P3d$)6oWqLhr9|S&Te%SMH8K+Ci}E?tB8UbBv-p^hb<nT zWlXN0Y&fG-#WEhdup1b7fVcC+dnEg1kE3u&cTqNfz@xMF^TZO-!F!e?oC>lr(EnJ0 zrAaePZ*9C-<WlU)|9203YTNWeiz8y8vEBt6?!FV;H2<ccH6xfm=DzkY-(DFevePKN zJ*Iq(Sf!avOZy+xE~lQRg;h0Lzef|S$E=>lIcd~JK>mpqm^-@QlIAv;#Qmh0xanHm zLPQOntxr?)<j8zP39ll$qpq5inyGi2Eb54r?RgOk`R}!3y0vntAVFm3V)w5K(Cay= z7Ku3-{yEk-x8>Drhi|Gn&~H)R5_pu7a|($y`t@lp<tuLQlrVDPY(j3o66NeB`S-q< z`k_VYEJWkK1r{WZn_(`Pj5Qq~os@H@PS38~1W+V`uR>UkvpjZEAH#+dUJof1*H`rP zk6tgM`}W$WT_OLBr;(`Rk0FxLk80?zMHf}-5dy*BZp>mk%xp%-i*F&kxjWH3U@317 z?Sf7C!L|H{+!)%_q;q$4qXTrK!R?4FbNvx1vI#>%Aa1@O9oOSWca)nT55=oEKWz;9 zPr6h;sp~?-EMMdJ*oL%$=33vD1?%uH`r_)mdT$suCRF#>ak}wgI-~if_|w~7!iF?w zT{8=`zp)kQ@<s=h&LV$|El3vn)H%b3%N&fLmMH#hgbe}bNH?`|M4f*2$GsRZ&(e=6 z4V#JgFBAk$3Cmw{`KU>6{<}49D4fU&|A>@+K}#w8I2SD}|K-b4%(p+5gi|EM@A*uL zi(M=Uh{+lMnX5DG(ar{?44hFSyVN^Oiahztkp8y}H=2o)e;@xzDxUO;<aeqaA{ZTk zv&ZYvej)kp_HvlLQAdtuPhQL^CwW_}Pl3y^n#LVa>Fqs`j+?&FN$p3u<x62aPFRW9 z>-*&%@hK>Hen+#yZ-4mW%9nHDJ6Nu*V`9K~3xN`Vnk2fH&n#k`N|o!up6V^iDe{+z zO1Y^<HkMBwtIZwD_$?%>S(Dqcbary_)-q9U0<Bi;&wF#mIjU6+*tQY7UR1e7QOA;{ z)G;$4kIyamjrw*hpXAywua@;;)!Wu#CZv^FgunB5Wd7jT_28?hH>Te$t3MilT&oSZ zYEXGc;)(jX6iipBa`;zt(li-T>D&B5oX{#FnUeH<<dotw(EOqh=W@JJCRDy%A%Z-x zrj!}iZ6S6{Jk{Y@McVa)y>zxCR@;i8Na*4mm>?%9O`L@tO>|P<uZ(_w)sfyg9aVbn zsij(_9*7;DS2w;%So&)<>K{%Jo!RNG>nHcaH?slJ7!&y$2fE^-mJAMUi7&Um>N5Y7 zG$iW2ufLFH(>*yg$LHRm&EVCE1fclx5E_`!=X!8U=vTZH(rXB+eqtjtDOemxOW?)w z1Zvj54?=f`180UaXcuJAO`^96J}B(OxukR=+ur>F`5oM+Eq>bDaM-(f=fc!DcHatB zJVmEkN2_{>ND;E_j@0zvrZ5OSQyIG=jl(1(A1M)^;iFD^ppde2?fdEEnli`IK36WU z!CF@<V-T4RrTB;9iUu1bkSV1$rdyv01&myh1>$x5Ktj1(uP_{EBno>2>FTLz-Zn9@ zeVGCMhIM7&P99KH3^H#xahgRz71F~cg06XfM6)3ir<ADKeRBvIwnXv^QzWxm3U55I z<jVM@YNx4M=P`DGei+jstK48V(<Z<zreZtyY0|aNQ0_m0xuA2y-EHq^$9FT$i~#=_ zYpUG8L>rziM=#`*U-pzc$egD}uNCK3tiQF(YaL~)mvmIts+)L)r4b3dSMjo(TD*~G zi^tZKoy!6r>Sjc84K!t&8k0RaUO%-maDN~#7Y!u@kGN}a*CoY%B=8$+>F|6%4QHR@ z*pE4(RKEB%NY|aeFylG(talV+KL;pX{4%CcoTBt46%22Ql~z+137*W`(aXmuV8$!q z2VZ3mjz|g{j-$1`Gmzbhw&MPp2}wSyn9saPDdlG1!R#UZFLHTBaXpLnu!s4gE!*9p znbb#?_VC{2JV9@$O@8v^(6GIctS7t&x@%a0chu8&csFX0`{-HY*e{d`27ofMni}*6 z%N*kNr`8vGE;<<ed2ulLXn9DY{$Ox@&BdZoCW%9U)}NsXBF|D+-(rTjc;At8^UCvE zX+q?&1rZUw!rU}UjsJ(XZSkHi9Nt_}dNQG|k?|bQLyOp~PhU0F9AfWL<COidIEj3@ z_HDIA!sy4k^}~CMl(UuERDhWP68^crDh)ljORv-z56S5dLB)l*pakb0ab{h^7yXLj z%7R`sd>%f8PC{;Rv#yctnOc~!sC-8RgRQMCCQkBjlYwDly}IEq<QrwUNc!uo%1-(T zkv<1i_LG9)4+*vJx~CUjLyK{%r%910%cGTq8S}~2*Kol*UNlI?HGr0p*xtMoTHRQ= zgzIRv#q7i5-qEABm_k*Tnp9W-k^y}1(`_&5ON#cNKHvL7_e$r&wOdy)0aqjF_i%b` z6*c9!Z^gHdXqcB7!Tx)GI<lI?^T}SCSdLeqUgII@%;?dxOVhHPSr3`>w`P$i86-t; zv7k~CexkIvpS?#BSO9o=*@?JOpz)n~&Sb7&;E&Asb@JD-X<`DOM?~Hdc9C!F<>!Az zS2eZk4{EM=G`){kr0yzP4>?K?2L<Jqq8DB?)Qhv0Il7wDiX?o{I3CO3F<eK9T+voU zQ1gg|uC?<u-|%<&#y!(XIDBraO6arrgZ4cl=DW8p<rnxVlpSbUDRVd|o1^Xw^jDD} zHw?i{#}pAERz$15b&riYPuJuErRm-!FYbPlSBn)RfppABcUyN>W9ENn1>uMo)UBPt ziz2DNnO^XS;R(yiiTK11W&8f-@BAv`XsN)J2Qey^KE=*h;@d@4ZUl++27Lc~9)a?& z>p2w<CbP*sYzK7Ck$*Ck4I3B97p<2&y^s=ON)fmow*6aDg?rdQVr$E(OHf|i(D&)X zUr5wn4xP7kbpdtiXs>5kga1o0aUlHTPCd@F{AE?ul@F6(bI$5xfN^;i@d;1SelE{6 zIuBejYDZXxUi0Wzh#VuMzigOj$pmCmhT+%HhLSmCXk`h=K>5q$n|sjvQF2uIW55ud z5TmTgsKC4qio&_paCFpF3`^90@X~$CjYti|v6PrD8(rY7NOQa;-J59J^-q`-CHG$| z=Mm=b78%p1?GtQiiap+sh&PHoSV-qr|HMN(l&2y5=_pkHezQTdBV~aEy9vd5^H2Bb z)Z^HRM%~e8z|NSpx+m)}^FN}vhwqH!#@Y*SlDf}SvHg*r!vEz^b1Y1d(|E^RFum8G z$th~2B{@TPRN8*8{$m532oL#Pyu|uA798VGYVRQFQetP<c!rF5r8PvH*s)TW<0Dlj z7D^hmvb2%3EG4}l_2PZ>zr+V?T&2u6YmC+C;hWL1wt%5CPLGjuF2mLvIuBwR$#lr7 zie_;$Z0BiAwlYnEXEY*})im^GqRYH0kW-@0t@RhW<ExjT7<!)MK5Y9cjUf!TMGxGr z-I4kI$(OFYD6FE}#$=;R`O1kct@cDiFM0Zl5VO_BxpZj3;;(JygMT`?VMLAO?b?i7 z^OIS=D~$8=^F9bN!|{Oo*K^J1gNmafcC?FpN>pYn`o9XFQU8CrLp8BUO<QkhVvXt* zeg5Nr|353@_kT$6|5*uEuZ90N7Ch_t`lY>jX>aRi9g*UV{`cN)5{c#0hnLyXvKN%> z+5$-hn@H3ES~``6-s%0%Y0etXjA4agxszkKSr@Ewqcftik_~QbXp{6sD|*z2hAQsw zuV#@ye}z6sn^KbVa(wQrT*t<<`@WWI^k@9{R^#6&`$n;TM2=IV$QW|>PRt+uOJAJU z1~w7z@9furf|~2~kjtp7Vte}D!^p8}2A^y3NYTk2@KbGBZyFL5G;01F|G`dawCxt( zzHMvz`F6KN-{;&0*x!jHZQl!;Z9Sgme(b*(u4vo)9XGoCJi%~&N;%tlm!D>Ee=dBz zWN`#+n<)Z`o{)v7L+)eY%I97pV87bJAm3Ld!^A>hGiB7f_sODIqbS=#|0&%<=$?1f zJKxg^>N($W6lU`lGWCWM+q$|ReD^rXZ7sb-w*Os%U435jGdK9W`i6_hZF0SeQSonp z63N5;V@Hbj!dpn%$ivBf&4cKCHCP|#Py>~nG}<w<K5vP=hc7MSnjxc%U&kW4j6>~b zbK8x%czp-qyV|#aH}3n*QgfSd<k{p3#O=Yb2Ark0$El}5ilujby)^U1sEE9LRxj`6 z)=DxK9NwRB@m=W+Fe25mQ_pChYSC5@GAJ_fu)TErtC3|;YSDTd`q0y$Sx$hz_rEp7 zJza@Uo>VzA?SD?TfEKnB4o2zTl-2ZyI)&c$B@R^pWweTR-(-lW%VTHu9#m@8d%_gC z%cZb%$K2l)9pV33qNjvjtcE(p#<Xk4%*>+n*wjW4rPMZ+*Pi)X-MopgxRA7tD?8rn zo!1b5Tpbt)f9f8nWlGIC*aumA9SLxzl>{`&Ek~N)GgIG}Lx919Dhnr%ftif*V=;g4 z18fsOmD5pg(QGE6BoVe<4-ma)&}XunueC$NU)JTWg63*#Q-rAHO=He~(px5AI*M)B zi-0P+B7q|Fs@ooF+s;z!eM%kg)aCU?%Ii0RhHQIexJ#Ysiw4uW&A!>~4jT^tY)36W z%`Z<Y{d0(J4qdePto8G;%KJ8wB27QD3AO=f7_<~BSRSaO$!pWzo?Azjk&ajYCoU`< z*P=$%k#Et(xJ~25$%+_=sn+lj3D4M5J6h1QOYfFAn6o<$@m_8!4=;s=a+RcwCs>Xv zCgRPMW~H}(Tg1zh0WG6m^uE_IIgoj7;@oe{)V98Fnv@}0)NpAF4Bw$<%5uuJ?W+Rz zk8_2*_&4P}dndSg1Av(k3a!~DB>&32wBfTS-rkC|T#L_oEGQ*e(+t>Lhuknh!ICdX z+`PLH&z#@%@cS2Xq{E~@`Azz{=(q$kNVngXe(yK<W-fccCwm&~z;(KbO8S{?nR^`$ zV`gCp$7S|%p5|LF`5*-f#w0yn_G)0WS_L9JxB*Uvh;J1p(#n?0FD97X9`=_}zKH(Z zB}R1?S{qXiruE|~Lv`7O2X%((tGNt7w^BlZy3}Ix-u21FK#Y2lPIZfE66;zJV&0Q$ zM1pHI)GBR5rrv<E_&e8RL<w#+YD3Sv8gDzK@xO9RXfk>Z&lB<eTp?6uu7H-1z2Kw` z6N~)DzV336br~pc#Tpjwox=q{K)DYQ6Z@%E<A?J3W@vFfq7#;Cu8`4fJu|I3)b@5p z5kifoQJPNeLCFY)i60@ZI`w`K9Ubd8NOQ+uz6|xb%9@K?u+Z$S=ZA<{{k8jy&s3p1 zi(o8KsDOG0G?h2CnR6s90t-IcnETg0T=K6H1C5&qSW>=Xdo(Rtuw>QGxHt-Y?HxWJ z9chZK_VK;c{5#cnG7|X)oJ@iNj(3}iB-3&NE#O6Om6TKJFb`VpltWv}Y^Hxu3=V@Z zFY}?dS}WV<C4IjaC@$IN&lX^ZX4;F$3qIbNWdzMITjAET%@Dm2fK>6&FUQw_F)pBv zk=MUp6Dc6T+3jPwKzcv>*0a^DG8tHm-?aL26Q(^Vo1mNF<t6~r`GxuVJ^8O!|KG=j zoZ%tN5v!1@%B0b^C&ElSp*BNZy3mXcrKiCHcNi5sYdHmU|129DsaMU_5ny-Q*0im6 z-r@mV(}a`gO}N>}nj12X)!bz!{p*32y;3G#N|4e)f)#_%jcuiBi8R7UpVYdF!pvuL z0ChIWo?%C(UURFj4cbHBBH=nrcwRG~aY%bm{I56#{JdCPlM?ce2I<ObEAkx7S<Zq( zVto6%P@A2=ZHOD|obj*?R`UU>pYeUfg%(JVIp@SNNyxMEq$|_+iHYp5;;wWq;iXz> zQ?hx|E<IZaI~YzH6)XVCOSsYJGj3Aj=$V@STo5#7IU0)1zcu=!0{ID{F{M|NhOack z>4&2c^B@8yP(I}6^z@04UWDCkzy7?xF-Mn&7pEe{WNgk+KCW{fHC13Q`r}6k25_rY z5iu(>^z(ppHw@Vfrv%BhY9?!Z;v2of*62|1aj~Koe&xj{X%y-#v?3x;4g#M5Znb$^ z@miU1mC+AzN-#kk3bH1K>AZ~ccr}eYd)18%?x<oj7?$9Ng~Y|vnuHEf!D1EU&@!r! z(C(F>f26#&VuQGX6)w$e{G4XUbwE@@TkJr?O`IkE4_D-UaG~qZOEZkiQ6Ft>k~$r{ z;xN%h4u(X^=E$v}5zSFT=ZeGp@MRs?nRs*IFg<H*O;Waz@Mr7fg+c2&ZLmOzoVUvG zh}Dk$kbksIeVvpx#e(vZr?nR>K1NPnKi@9K?xRcGk05({b`x2e(H<EL7=SM4yJ^C% zWW-7+w{R3~(v%X7UMp+0N0}9qiS@3Jip$1AX3S2LXeX|fFu^xlgSx(TDP)YmkF=Wq zE74GCOyLrDGEy!DZ+ABb`&_$V^LNgy%|*I}Bb^BCvZ#;<6?|EubngM)v)^aY<5w*W zd3o(~0ZkXAp9esA_ZtquazLg|ty;;Jt3zXji^KA=o-2J%qZ=LK3T_=Ty0tGlAspI9 zIjdfR=|#HsBRayHNL}GpJvZ^dr1bF%ugifgE=8t<qzZPAA7M1=4U4+uNCGc4Z>>R# z9xO#Gaky(8S+Mk{tlEJ7#n1U_5urMJsc=UtGbS=h*fB#a_YHX05xC)uI;hJohNbmn zya?A6$E%siq_AkHw*1sKm6@n;Wne!BwLX55>l_Q3SzaDmWIlSqdKr(zttDC?wR6GA zN`h+IUGZ-?g$ptb60c*c2){Du)z!P9Q#m8R>~+Cjid~wp$xu*(Hb-?7JGclg?f7s! zr;zg5)%7oYIp@Pq09V&DJEY5jW=5M`P1io=Pq5K7nWtt^V)3{0-`E`6M+PrSEw^jD zX8|mny-U0t9M8~{omJP_^}O`R^mBfV^tmdV)3VWq!#0bEglpY3d*S)V<-}J4y1Rm_ z-%f53lI8aZaeP7daappPuxH<EZpD@zMd63(l8V);O+IQ6;Iw0w)nwDR7FnO=b!&gv zz}i$v0ovHpi4PTwJBg|+Txt)gz7v`MOE#2mT9_Yv^v;|+gY0Iczu(U)MS$FZQ(?{p zLv;0l)O7q^-{9l~4I-&NCOHg%%A<!1I2fuFiAOEwA=ohtXv@^T+6Qb(;zQ)&6$>;o zt97uzi<DL1=?IyWATh4mN}Aud1?tb&s!Dd;9GRKO71M5=W_n-VW8|a00O!XD|2Wt- zxWg4vzVA}vX7h+}-1LPAVb428M;+A?*6hj17ms`J3+2&+ZQC)99iv$ah=M?)*2yhM z*!Ib3tHQprF4t)1924&nWZ25$Gt~Fh&3u=9qb>nYPIoaHH;>8?c_Bxy31opn9sJ@- zpL@SIMf`olq?J8BJ0&lPI6V}pmsxlkJA1|j(^p5<XLTBL`)C*j83T@6dYS6e>Nd@N zt~MsqLBTeUrVDMtXFOC0Bc<oy$qD|%tGK6CbF#WhZ#8R{1DQjf%1u!fhDM-@5yt-H zVhd0rsAX>}iM5Y-WLdUpvoo$J4!z^>r!7UP6^<s)5z3WC5o$spVdhNUa~udH-r@eM zk6iCS`UE&nl`V4|JP`27KI{4~9;nE_Tqg|t<ce}RUhA79f7V~#hZg^Eqjg0)d2GSQ zt=nDKzI;ldW9A1z%dMAzLO_7~!IbMIkV>l0&acO=me#L72(`j6*+U;4MV+;$2|j{! ztV}AQUY=?1dm1m>^_sRs9iupDOoup8a2vrI6NvlFEa44ggV63<aXlw3cwpUm;_<v~ zDEAjWxV##d0cK;hto~GoFJ#NwhzM21Ek(xK-ZkDq3L;W0l;N5pMU!_+;dTw^(pQ-a z!Z=R|6P!J&!>g9I_MhOcM$aGtsy5%*x^;O>Ro>0<H?S)5Xd6{E@+=#9Bv^cHuRD95 zL|<GN3=^uz%t`p(-^6KsHlFY6Z@J%E(ujq4^(n+CxHdB=rhV7y48$m)?u_Ruw&)sa zZCbJZp=-^!Y>OmMhGrv1L>kDF+ej%`V3eRS{f{Vbz6oC?p<G#WOn8-}X)r)#kD*TR z!?y0S0#RcL+Yal5c8IRJEj;TjcO|B9=bEeUR4y7cTCcuQC$lv-T=&ir%WT^WV)Wuq zO0%D^ZbI3q#DCMH$J&VAl2R*BfUfT)W}PnBxtstNS$ms#tt0{mWFTN4^GkG=ieds` zOs;oyp3_GUk?NmEwOce?K=I+2I4G6u%Uvqj<(xNDt)4h_W)gaIAyVUm>WBwk_zV`x zbPB8f7;PSd+)%JYuv1zt3VwL3#Z(45l-*innStYokdIWw<4Y+iSg7*ufr*nlw!mEG z&92D2W8=>6g+9#PQQ+V<q`J|~TR$VVHKp!4MW*iYY}b9yZeD=bAR!>)u(>u}#tYN` zzNJuth#oUymBP(hKH^v}S?$f%e^5%_gLS&OCoA5)yZ?Cqsj7<ambErXto$ryRh!_a zuM?g|Dyx;7Zzm1Xd!Fd(T-3g(o7mD;u-T;Jl$~jAwOusQg#g&rQ*r|s;>Tf>($RI% zHixvPk{hJ`6!#psFuh3jeUIIO(ciy4n|++^0zjX=^jgufA20uC3VE<00)(}hDPs9| z)L6Z2ze$_cLx;CQ4vBz8chbO^3U{TDI#r_+>V59aRDwOUYQ9@n)$34<Nu|F1J*SiO zrXNJS&lAd6Zvm{Bu3?*Peak5Yt6)d!7j4@s+wY6Kt>}xHO9zCtRo;z$s&@nb{#9XH zub;wHXVTwIVB6M9(fae=8WpxySH$M_^TvWsemydpi`eaGM}NJ|vfPtjq@Fmatg$Z- zz>&WFr>uV<0jW__|1#6-V<<q`PMtk9X<C<>z}P!dH4khTbZRv=kMyTh(|)-lQly^< zvL0$8Q{n%rM_%;wG5%5!j;~<~aB>tt=3ncK#Xne?sqh|DXGk3lMjd4mE8XR1g}=Qf zRe*bQk8jx|Fe3pb;j#IwTe8Pxg=c5kWS;XYyHBk1^=<-7EIw2>Gh*(0m{w7i><M^F z2MaPnjX=`OzU$nUDD{H>rzl!ahaX7WmE)rtv-#C3lpE_X)~`{)G#)S?z_H!4=0m=| zRTR4w){uPWXWr-hEI~|ta4@lyLe^MPVuDYt&1l!Lk6^`*G<t`QOvNRaakHI>^oKp{ zdT;RRrG~698wZDT&9%T|yU>Y-(CO2;oz6p)FwpSKG<s`5=4EnoVz8XlW^;Dkb~!h` z)3P`0wtN%RoC!Xf6t-TZB3)YVIA*wOUl5x(pFV6{yY_u*+9B~=_j<bOHkmy*5C$!F zzjbRldZYN<9XBHU>~mtE`w*q*v%!=Q)v_M3#cdll83WwTxJEtCIosXMyXjvCHFuuI z0#TU_s&_DEGG^3e-U;U+E;U2re=GMjz+X?6=vG>(&eOcs=bg2VkdQ1jWOQ9{?#Fyk z=KA{jQCQgCfs97axgtMFV!`OcoiF6cBU^rYzS}z1V5z%PpUKnv-%7oErAXS+O|*&y zJ}vz1?LWUa3=8m)lI<M~W_`fL^KKRZ^ooLY`LDoTVEv^<#G=OCS=8b^9)@qTqp&hr zR&o+oqoc7g+X*c{xch8l<3QhSS`9<k+u^KG613qPkmtiHs5{x+x7=1DlGb8y7joFV zZLgZdWEJN;!rPjhuc3GO!79#)_k>n($v<Z|<Bhm&NtAsuS!=t1Mq4#sX2}v@kQx3g zmA^{6(-d5hK`2aYd9N<~S;Mm;RdtgER;QJ}m8bOt7kx$6Iihi|6b7DJzs$;Ne324Z zyu2G7UiDm0%R=b$x0!mmZ_UB~ws5BNFs@Z!tL)QG!>$+44%DvD9?|9-C`@1*9YvK3 zSA%uFkDt&BOH{3_bG-f1tw{i-`NjnP_SCv-eZ`NALMFwG$i%#(a+3kb!NI^O@*w46 zy`nr!xwpngyk~iH79UjYJ}nxhAWz(CsIB09lug|(tiK@1b<zNeJM2T98s;YRndmU$ z^qH!IabDRDRU9eaZF}{u@0H+@33@*4B84I6S$xEA*Q|8^{v@5|?G4;)mdlSYGp~Tt zKyi~{l4S089X0~Cal3K8trFT55w$SCiSf-XR0Xo+M6kmN>cN$sc$%)yB8bKJtRo;S ztop>$@IKS#ux+r$mm;`M2xUu^OH2q)XeZiBD7R^iy6Q1}LvY?FB4L%j05M)MgmLs3 z?i87@JWFuIQozns0}QapEYFP`g61BRTAA8?AXyVv&W4SOj$d3E1fdQ?8RF7Se}j|Y z=hNXriNkItUchDoSo_$1s&{zz)^Kt4y5$3?{MP}JT}JlDqyGz02)UP*{<X!xelV%$ zF%s2-`E%(7LGzw<2-7C;))tc#ZCC1WZFwh)fkrt~yfiw1=23V;n=iF==zb|thT9h6 z1iqYfWtb7Mf1QgRRyXFH^E0DRDrzPLZ;QFzVi=y4BK2<bsc4>}BzUum3{k04rVeYP zi4d%Cbz@%mio%{!thy2;w)bT*g2HQW09uXuVFJb|$rk^Din1*`*jn#=f@*f9Uv<@N zu|(2*PND%Yk|C2i-ZFM4%G=iSe{AakcSPQ!AzS<lW@8cU6k^Kr^_nk!t8-0trKta3 zp#W8#_``dM+nsV0c&bw$&o+Q<AoKTt8lBU;j6n9g!%PNNj$Yq)%-7#Wf;Q~^DVgut z7L5>GwX{e=Z*K{pivGIWmiPWG;!D{Vn2ig{3t~VyKA!Kbqi3V!;5(~#_*DU#B+kp- zha5E$MA9fnf3J-k>aJZa?a^$TlMjb;!m&7M<u^M?*dM~j!R0Z#{v=XkW>89A#-gg) z415t%w=wSQ8$z)K^4JKc_BC!Z6GTQ1=qtC>*4wpc!NfG_v^>*=$N2_YquF4ABB|!$ zFBQP$;U#Gzyf>~|H=W)`g6J~E<a~nGJVC$^Orbj8Mz6;H^XX8OJf1j0V2`$gSEa-k z<;9(IAs|zyA;hsU*_NY2#<fcK+9LhK5v)e|^j#nUnxfB2OBHt5d^H?o{p=s3i`en& z`4>Q{nj@&@aPqEJW~j>2Zi7=oolt62Nf;<yp%)Zei6>UKM>EM)NojiI44(WeP)Hqh zIOX3@s+iX$<xAH2$6|HIwzFF>Q~62+wHm#-e&Jf>vtr?eDVRK^diHxdOFK*M4y_qW zLems$qD1`697BY~yH!w9e7k<|y_cRJIMLo<mxgoVGu&6)3o=%46eb)&m8j3?HV%Lk zp+2L9kkwtD<%5+mF+}bjpCA=Kzt%t)<-pvRB71|kRzbG>wy1MSUa4~E^x1f}_esZT z99MxuT!FQ5!(a5MPy&knR-3G;Y4;QnD|)}rwZF>7J1P#a!M*()_4VFADj4p~qkg}$ zb&ZnuDupns3MS*5VQ3B9Ff&<nkpYQmvTtsyUYE&KhsE_yaM?tOBF0HI{(^dTTE%=@ z23>I$-(@T0U$l6Rpe%ko^CqxP7#Ni<oJaC{TuzT6$%yIWJc;9*8+~Q#Jhw7=z{)lL z;x&|bTcOMnB<zag&hzfc7=jh7I5_Es?iN1ZGEIawLp27ReZG8cemIjm<pr=8FicFF zawco_F0Fh7`L{M|pWfHW+ZwQW245iW5o{L>_v97?nAj^qSPJ1Ar=1MU#LERT15$mg zcbAAb>nrss)GOhm(Eo4`(dE7CU9e}%TXE+fAuEZiZ4(jS2N&Sn<Ppk6><}ILt($Di zr-1ccWYfOd$Agn-pV_>JYM@WwCxa^q{r48#RD$NwWBX__Jk%ztg+3LHp$cQPvCzB9 zhrU&BQkRFH_K~XLap%btyngGsp58|)d^Tn8eRve+)&|GEHxN2sI$1r}7;WBQN@_nF zxfgo4X(fBgh-%pbhR}}h&oP8A*EZaQq328nf_Fj9$9LLWR=FBi0GPpcuVdEBAoyx( ztxRS(!8BmyeAca1qu>qM-P(qZ&`nsB@RKlp`&q9S{mxzz%gSX-ealvp4$J42=F`O1 zZ8v?dbMg8msJ%uh>BCC>(^?0E?_M3zjzY`z0wP|}`Ph+QH;t?42XpbBcq4~YIl7R; z`D{T{iItb|=D(;Czy?oYz^ss4fix?cRnTWzZF17<DiDEc%dL2n&Q<MR-QE<LDFG%u zwmQq8(=A^~EEr+*U53Ky+bOXpXjT)rl<$KplqR*(3VT%c{`m=dmA5}_V$fT~c@)8B zpL4ON=WqDj^lQ(|1Pmzb<9aH{8V<KBfNQTP1Gi04dWV<zTS<Np^sf)36lQQYae68m zwtCZ>qwCr}`S{}@Nq~3tbL+D6dW44knQ%VYQ?a&gI-i4~<JrX<KM?l3TgD^wH^$6D z_i;0PUIba8{~jhuUBi@56--I?13^z_J)X&DI%+Vbz!g5lc^0o?F{O_?uv2P84KSPJ z)uv~_E|~z9sZoK^NQ`+m7A(`JdsBEvoA+tK;^z20w53-NP%a|cJ|^(7#(|C66W-$J z=b!IzuH=lgJoIxxuhsTVx1{o}wy!zV?bO`*M_1=ZDI>faPP&2_AW8Fg7n1IOm)!aF zAGMlo_<YxHE^=oJk2G}I1X>#0HzOv)oB}e4y@2Bu>-NLuwlkIx&&jJk($=BileoKG zzJBD$@(G%#1uiXtCigK?Gg41W&!!ogES}beo5#Lqvora{QD)C^8br&qFN%D52b(bX zx9xf^H`&c^D^AYpolu66Of{Li5TEjvi?|6j@O~X~-&D+zGAx+ubDVRmFA8PEeFzwo z1CaV$EUvCRA%d^xn(?=G1mR3_RVREtcfCaI=dFOgK(!v){TNL^`wR<HCzyPU&Ch+e zp1;lxLnFOToA$aal&HTI%gL|daqziJ(<~Dt?#cOOP$@$fv+)!|o$Au@N2<NflVDYa z&YfhNdTHEcYz4m8&Gwj&?U?uZM2vwoAXL-7<G!d7D=54uiD>fG!HX|S(`7GVUhcEJ zI1rk7(ZSpbR`7U~l)d|Y8NDy`R2@Je&YVe?V-vp%=AIX_7~Wyoo}ba&q_2^^a;|@R zf0ZK7#bbV-yEAWE=+7!sn<sfpk;BM2<0r5<@f6*v%f@IV&9D$i8q^WyrRlB%gy}mj zjhr3~j!nodP|poH3C4uEnO3H#X{;>9&|jKD_NrBKwSNTY#p`w!t8uzdD?YVbL+_}K zmx6W=t^2$!<7#E2%ovjdo7~y@BVYBXj`sNpa8wKbAn%L2)3{glEvX3ITEOwLg`pEK zfXW8l>B5@dX1z0co-SCZ&1GJ<X{q%;!aZ)w@{aIw9apSorbwD-Hjg=|hZN;yH57qy zvMNlrI&2>qRv(8icP<4jno9i{&?%sCRg634Yl#WdGtwR|Mp<vY@(SNEVcShyrR-;m z^92rXwNavP&P^pc&>BxeyolzhXR$sdoe{jl0}M;vH?WlYFIaSMsai9SiiCiu=rX;q zB5rF0eXhNt87#A6AuX-Rjxr3Cj_2`*qDJ>i*o(FXblcay0^2a3grh_rN`_j19vX0G zXxiCeUZhyoV<T9MS2C=JRg?75jojAD8d-gRnLY{dP`j_oOa2+|Q|Nj6`sHhE=G$EX zaF=W0h0o<WR+VMuTB#2$v(@p|k&%ZHiMVyWrdtIw{bRH>%kxQ#iK;<YqpM1L1*_iR zA;b^23Rm7?Xh%c#M?#lZw;4Zfi~J$@WpfPb=SpF?Uk7_h?zWEKaq6j`CZ?7Ot5(d_ zGIq!)E=0&!m>IGp_3Mo0dtmwl7deCgs4%cuPId;nU{E8GS~ZQXuvyp4L!BjGcE?jW z;!2f5xQFRtcmld0z4^o>pVXTAuzy-ztFM55HGU!mk8H{=(49?yCQR0Q{AipRd}@p~ zr(_9Qk9wKQrJBPNKcy#cP(O3Xyi8%VHO|ju?!ij>@E1A3@+WQ{nI&&#zX6c@sW}RY z;MMN!?Vsd4tR=arJyB^lvza#dvM5<rCtt2OkDNPKU<V5ro6$FhLZMzOyOc-zCK$6C zEpyA|Frfn~)FP6ef&MYNpPABns&_$fhKi+#`6WR#<<;ebO1z!^D`l?=lNDdsZk|_j zY&ldDe+Q!_JM8ymU12G1C!lJL8E?Q!ef`Po3(=yf;*W1T(&Bvj5t>L<K7Gu6v4ck> zdiT0hq|21j^8ETwcc|~%nx0y8zFF+=>s@@{<qjK)CYH(r9af1cO!_~951l;N2z*NL zr*|!>t%r@ms`!JxsYswD#D#{#rRi!Wekv?@_y#%*M0vVY;F-<RYXKe;+K7O62-|JM zA4xK?<Can{!d52fT|IZ$?-L%pq9j|Q_wmaXzdQc=6j;&JGzxu`yxZe;Nd2XLtyiw~ z6b2_;#)pa44X}ggXNOCK6;&5Z=k=+ApQpl9nsv-)Xp{*guu#d`PcvtaeD5wb9#46< zWVhU_5AK?$+SN9MFM-0WLtl`akEc?qBgnX-$@$UrtkbP!zsYCz5rKh`z=AdnTb^B2 zd#WEl4VT=>qI{Oci1*ZiUsQU9ik4wrX%PqNf9O}tVX=w37M>csQ>s(xLgenslI+sT z3N{H-w+3?U3Efv?)$F8AVJ$l=z$qw_d~c!&+o4>e!J6L=08u7B-C*i0v*l>Poo-(| ztI000VcnH=1b4&TL^D^zKfIwQCEt$*E~B<2nNLwiZbA;zd7h*HY7+SP88NV<qXk=G zQ4uBH3oj>HjVGSgA2&=jM?2vy{ZwSNNV6(L4>Bgx+Eo5C;;x#4t_HEhPPoSQNKJXr z(IvjgVLBBACVSJ>S8o-9KiC^V$1QX;EyI{pYGK`hWeq-0Fts>0A#xDKqgZADdzsz2 zO@P1fRf$m9EREc9we);sK*BX`5SLz2jP29kzS$t#=I-fQjCUM-_vK2*8ns3}k0Bfj zG$md04--4f@lgTpyPjkv(=&XK1I@I1mkBg@fXpp`Z#FBxupVj{M>s;~#HE>^3@tya zlbuZfp_&%^DATdEBGE6^hME9#E;D#(Rc#stk_OG(=klX~MU9pYpWaGdJ@L$J15<_X zFj5@t<+5w^Rp`$~JZe-43BE99A}$5J#3Jsvg!=3JrN{(&WbEtKoQwEx2Tb|prK&>P zv8sSachG}VlYsc&WV1!K_HG-!QEshE1&R7<<6)+7WTDB<ANtG`BUo5J=N959*|^KI z2pWC$?PrR0(pt;zRQA+EG8iNm)e7h%KnLkV?Y{L^T2c@n-R9qZaP}stYkO}$K+U>J zg2FET0N0--Bkz5YSRN!4W_x%p>*)3@5CAmhlbRocsgUpc^$APqX<UxXsLE~bxl<6# z@ojs03EHJfwoCp1Nxzo^XAwP^UX@0cb3Z(+!6)g6QiT4EV%Hp-B17GoHKd2)ShIW? z<T(9}H&(8n`r@`t-WY4tE;H`i=#LBhOf^f6=@|XLM~K@t2H`wihObt>-fRO>cmNEC zwtR4IY329w$yvEfnYtA1Yv!XbCnBclq0Qhvw4+E`F1-oe${jtfih^t$Pwgr+4F<YQ z;Inwm1UuBQIZSR8K1_Z+G>;S2RfplB+lZ#dWD|6#!AC`kgKDuiKbD_T+9Aib+I1#R zI#bj`Z*^@HvQ*n}h}N7|$|WOI1Mn>y8<FVRx;=ZVXPGRHR^_D;TL&i6Q$MTG2UrWo zT8(xdV~*0-?(M%NC2O5+bZnkYv1hP2cNd=i<9cXM2(jy_{mYXPYJL(;R$sKrJ<nCu z&S7~79`2tLKMYLz;u)7TZQZC6<4t!m(kchSjS<`WiT8ORi)21f>-PMcEpUE#w>6Wp zbRVa*V0s$K-^m((933oWXA<ulca6b;UCg`bnih_Rqqe%g>6{aKm`ib4*AImq^<4Gq zh)GvKVLEC&7V*U+EQni<NZ-}0CfjP7Ehk^}#s|XN8Vl~mZDtmIZ}RaiV}51bTRPAL z%B+0Z`R<$B4X02wvXLhn;BgA?Pdf;8$}vNY@#Q*)@mYP*t*FC{s4{`}+9JX2`s>2^ zvV_QlM#idT3Ufh1=IYjKe$AzDo48pz=QbSn#ujqvdf^&&tDVI&#O;&Z$%ui@(^MfE zr^oXC!JoPcq4rtl`bXyqo3SUv=mgD?<nkfmB&T}i;e7(9lbg>KP}hFq8AHPILfMzV ztc4MNACh?WU;A5?B88&}S*Dr1L>qI<>Lr@EfHwY<7afz>a`w?IE!@%LS^KCVB`@{K zT-jE)OpwN<kA9RD)agoRvpjfBu}R<50(A=Un4qN{n26dy&1lIVo=}y0*vbEyh<{x} z>TW+JrD#nZ-p}|9=yCKn2+f_SPCg8W3>ln*22wxoYpk^0tkEvkm#>sdzhdZ2FGPaW zs+v{QhPNh~RdgSTGawFWD4ns@V$!)p*<6&f-Ywp3XOb(+mz4^c2V=oU^v&|LsPv4v zc3YTib?-tl)B<b&xU-@Q-V0XA$1(ELhc$x_hJq&W>ZsvB=f)Jolb0^SL#s@k9<nTd z(93Ln(Q@?uI9WwcZHDPkk+7e%oM%d7#}lW>do_2!wvN82lw3NW-8+F;*@#|a-liEl zZpHXyC^lDmM%2Fua?cD~SDf2aRO8aX-lINi@wo*wi!f|*uVMRnigOm<in_SUHxEZv zeGt1gdd({J%I4Bi%VSX;yI+O($7i(jz@Ygyh7%4h5nrXbNZa?Z`~L~KqUfXLD0--6 z468~EK1mm$EV5LSZcxmV^CLzFyIbo{<_wp{`a0$^gl0ndz9cDcA>jb0V)%3g6rVl{ z#YW^BFp<T=3}-2~@L}QKTNxCeml<2x@fIEs$>iJ@)|K~vG^Xdp%tCikO*c;u*dw>T z%4Z2fprxD-{Y5@6kXz$pHlv=8Q7_H?4T(cb0VwI?0@ERA%;W5~A-T3l*_B-Rc6M?n zZLX;lkHRBgH7js`Rs+~WpcUU6-EGw*DpY7X?Hw}9|F&pWRoV&D0{0BWRygYk?|I`c zsQhTMfDVo=s)${y8zXW?7+F*z8L+C?5gxMnlhi*2Q>A*3Do(Cs9VDH-I0Gk~R1Kol zS!Jvi0o#F}N9NZ2N@kl9?3yc$p%?m*aZet-DfiQcuC9dr)4->z3CTuFsq){IUsN{1 zR3y~$rVV-|A)Ej7(YDLq*VOC>94Vq%;H1oquZNa0Mbrx}O>^n*t?IaHX7D^#l_y z{6pNX4tG{uh)e;EFGN=!hrp{bNtc@u!(iqrA`Hj|e1rL?B+w5wn4aZLb<C8yDNPR1 z8ByzIlPP6LfJr_Vd?H+q2g0N$gZBNJqJ==~b{V*b$b{C?>bewRf1VReuNa;>75m^D zxO@`mG@jv;L?N>1=Vmn0ObFG?*3>J-3)ej-@L4F&E;W^;ZtvwKJW17<Fndz^&F3{M zMBg6ya&3vP@o|tM^LK{up4M;eijmzft`d-J4_FE-5CLymqY8bEO`0hKDWKyd*O*$g zi&I!=)nSj8>XJG=b7!C7o)sAo|2MBS<FmD@8lrx$a8ffXK(j99UXdEjuotm}ZA#{m zBTr0>YLWX`t{e}OAVrfLy)0x;D-LbhusCA+cznrnd}YCdT-LC}hHp@Up4Jh<8qLrY zk^ZF}7`?*9(4l-&=xy@zQzla>z_B7+^p2uvrZgRqzdx6kN+xWKL4q0!_OQ)kh)5KL z{e_IXTm?<kL@4dsx0EP{;Z{FVs+ji@_|W(`H7)noK1g4)1vt6Pl2u0)qeLt)gp7wD z(Y6IGbKh#$pv^K65+{H^tByAie+$3B<g}OtFui$}EWo|F>oC!E@8Sq)6Ay0TmwF6a zR&=d%|6?HV4dcZG1T7sBwWvU@DDQ5@q{!`MN9HO*;BH>jATDK=7!)g^gn_K!FSn7w z3D!k2XKQ&<vH^01h)EL<FN!R;B389U*Bq#KvhfKdPW0h+Lg{W&xe>zNRHL+a4K)2! z*paO|c?)U~2OCVh`jLg?<xgA$U!t5a)fPwW<(N+0%CSf;W^S87wM(YbmnnZ&c_cDs z)<=iKyAqw@RD(g}f3|Y_QlyHC;<Q4ex~f8>pw>kS<1Ie@5|sxeXR~o6`%RV|<>D)2 z2j{d3{mObp6$F?5N`lTEax~@_Kx?cae6;J+W6b~d{$@+WL|D#4zWQJzJFH+c#Q{9> z*4%s*?7R-y{@Rh@j9Br#Xm6Q}AH0tsmC~WlV?a$fK9FJ4qch#nb6XbFWVdfV%Jgaj zRyfL^L+YP|Yn*F3mWDcHg-%<Lg`Zn29wv84`Ol^fgs(;<+dOty(t(Hm*(cp%(<Y@d zyiht=-^WMHI<!?+N!3&)!Kac@9RbhNl2!ME*1D`E$9vqYyQy=b%V~?KrCa`0F0!YE zKB1@P0!4wV9n|J_nw?j@qfDz;D8dKRGGz)`b+Q?PX@@SdEcDm*a3iloXhXyE+LUV1 zH}+V_z}TYF9lm`@9k3p{1w!=OKb3p$6X0J#RKVK|Oo-io_4Q<o6WlF#<xJ5JPP3u= z?jqvCx7`7kAOwV^Xx6H1XgpU3BL7lWds9`9VeNt&q--&o`Anr@3R<NG8DHy3MhV^R zc46GFDo$B6OU$hM(_mjuukYr0M^KYx9eO6nz*|ikWPPIm%PBk`%X0Sr!;|{+52Pkq z(M(9m4!DA7vMv{PG6U;ru5M}15-PIN+PxnVrB;Xt-CSqOif+$j>w=jdI~kXu)4ei# z633uxm)!XBc+4YS!@;jf!5G9W?KeAz`a=pV^j_&6yV=HBmk<{Dk~hevWZNJ*!P&)P zP=m3TZWbWysk#8)Ww49mx^-&~?fF*+%Tir5MciC$oK~g-9z^u*YoKW^&8nWB!<}`S z$dbJfr-xee$2?U+Ayz@Alb;W0T~F#D6V5m;kA7x0M{h^Zja?66m021@TSMJRs(_I9 zcc!}~#oi|?6P;uG<3phys;>nql3vdnVN@#3QGg?(Y|=dmM$eV#hA{M2P3i2ETPE&A zcKD8>|1tEu`b+O}eWAH9#WmYN?NVRi^0v>H_N=q>0=x-LLdpb}&m}AkjW0jF!It6? zbNV<S40r3Ga`tTnCRKp9#);mal@q;$CZ+6JRI^%rF|tRAf|mV*vVa+7&ldQchNrGy zCV-Q5l{a;Xw;=i0t%sI9T?!LQV|lB>G<lmXj=pc?JNMOmv8pI**T?(&%E^_qlp1mC zccdNp1p}(+ENX`WH75~k@@pRAszpmhzc_Me{Tu0vXm7g21$axV?Nk)&o!9@!4)3R` zOkNWYig$1qUN{g81^RtfVflYteN$jxPt<Lb#%LNFjcqheW7|$++c<F=JHN)ZZQHhO zr!j8+-*;c`eK}7v`^@aw2QzE$wIXs1ce&I7d5YZSxux2zp&|iIVSH5w0|gZEvC2=? z>&}x|4{LH!5>GITv@;c3Q0|*7TjAh-$&kA_WaCWNMK{z4SEP<0Z6go;<&O+jxhv>$ z8n=c$-B#O?0E~_BdTDK|y?4u1_p=uv&%(+i%dR|%W%pQwB#v;>2Od)ITTdD;XV-23 zx6lVPL$S~8t{^w3l@FW8E68S<+8aFG^=&;++mGSn6>gPpPtE%i)59aK)6w(@_r6w} z+lJ)sP$jz{(O(UvzIXXy9!;uVm3(G}IpcLUY^L1tavaY{1C5#+ktC&>fidaz^V{CN zWpk{32c898DppH)<9Wl%`rmWXid-`w)D1&Y@2*UcPEAZUd!ionomWry{ebUNMX@Oi zqk*A_<&!;}l#18jq6!HSN4YV?;s=~@wCZ}QalRzx%@2f@ODXT7VUyD=hDcfZz+rta z_E#zN0*8}QQK_0jIOv^b_hvPkpXLdgFd01D4EQ1~e-s>o3rN^#(nl*9T}t(Uf9CD% z6j8;8l=w@l@;%whKhxzPc{BLM7O)_>8xoyZ$tXrV1cx)u@_0`IPi!~~u#zt|DBEX0 zGcmRCpAd;zEH0y@>bYF5_sA@M`2<kH$mwb`qt<Yj7R?26r7Muj&XOq6Va^6Y#!I!z zi>rnG*)=@5b@;=d+>)*!Ar(>$M6<EnpbrZ)>6<9Wja;|m`H;tHd=>jPx{5LU8|g74 zXb<;uPlh@ly307_fcctCxlYkqK$(t!Ik4+T<;kBL)<d-|_qBB~E|c9YbH<@8R*OI? zm9k1GJZgO|8{O5rJ~Yjd4zZY-d^%A$pMJeVD3+-BJ|?aOmLIzDQKK9KurTHiLV{Sk zhd9kRn_bo%vDwp<<};3M7QI&otqL1H=zi|_GITSS!RKhidKp&x6?13wQuzzwg5oV+ zv8g*Q%?Bl~#p5!XT~vK$vhK#79+&Em<<!7A-{9u9wBgB|-D1}>&+Nc-w7m0k#@JuV zhc?=aLuc?WxmfZuOJ#ikhegO6@u%*qm{*(F71zeP#cD%}OzO#Gwq;XB0+$5qXjjDY zUdVIz-XmT;&ztN|Y=gjadh_*Ni2viApZ6s-(`z#zv+bz6u-(9IaEZp}pwc#Z{UK3l z(ru6MWBZJu{VsB`Lke`6q(|S9+dFSz@XrC_EdFYvan12^F{c#h^m@F!km3hUKC2(J zKHe`M_#e=b_}o?l3T>Bpz24ZwI&PNEI$AF&+usRw9q(T%Jx2-0q>Eai$F^2qS6{1P zKThT}BZCvYq>V1WI{awz$TKSZHV3#Qh@be%Ao7teqMEwjzLqC0C+8C{gFT2*t{A<z zJW>0+3q|wLS`!0wajC5!-J5JNBdvV8iKn79_-*S{<##2Z?vLUOIsC*^wriWvIB@5Q z1V{|?BF@eh&i9$WB)`pRw14Doegaj-oAI7MUajK~A7oOc7cN^T+?W5<4d2~WwcF}% zSnv98FcjNtI{zbaSZ{B%MtL*qtQ$tW&3%BjAdY*%*aQl5A6eo{96F=sDkRu0I!^14 z?|nq^hbq61hTL%!32$%&#{#Q*Ei;{5^{Tn4{2U+Q4j((~m2%@!(8?MYmT8^;LWrG> z<Cb2q4UK1Wf37+AuD!l{$EMUha9@Pyv})0m$4f<eH^y6D9<)SXbdtArev0uBKW)P_ z+J3?XX8?)mhqEU6dWU2%*>a(d<9LH9A?0v#GRWYJo}qMJFh7c*jhuVX!8*1+T(OYf zMb*EIo@!<9(SDU2IJ>@lQPG|5Iq+;AntQiAgDk$#Uc3*p;J3G~smm<dK2qGb=2!Tt z3*IRI$uzN;7MbI)d>>NAOG^G=aQH^*$xn4l4p7YREcZ|HE(jv)X!iAv8f&dNJZN|k zJq#As_!B*^pZN@Qi`CpwjunutcSwDMa%QJ9)h(9xL~nR=tt_bx9pUNs!6ycuyu)ws zMCryAp4;-IU^Xdcndx~+Zx4+<-skwNNq>!-ao<t)_44m3ShH&+4tZbLRN9VZbT%yx z0IC(U<ZNjQM%Go*Or<otu{14;5g|c&1PfH9ig#)os@AjUQXK8U8D&Itqn_ISYT(1} zox3XD>?+uv!DO44)W)BQ(E?HXH^pJqEJkO+%dYLr((8560qfA-Whykzk_Bhc8Cowt zmk0<Y2CZo=Ly0W?UYFi}bM_26gsRO}0V8Dvqjip=QyiO_e<aKHNfKg}`;dm!R<4_H z6msK!S7v~e(Tk|ivAB~&!}dQD0j5uMmfqqwRLpD9TYjc9&d)A4)x<cXxSs`URj&=2 zrS`E!Mbt^%S;{}#`pla;)=Sj(N8$%DmpS`uj!igbFSZut5YP;~-6Ht4Sz@7E0Ii9t zUaE<5)P|QF#cxZIXPY$}Otx}gw6DtTq4YB6OOb*#ZJc7p|A^kT?o(a}5))QW7@MlI zT(B6T593E_4B?Vy-k8^&H+q+ho173Y%<~Z~@(dWXO-d)!YnNE;`5Ty51$Pf76~9XK zme_3f8L9dV_hQxS-T#(Me$5f|1bAXyshQ_Q5r@Y$g~V#SK@%>H%)P0MkLb1~HN#<l z>I2`%6H=w4f*d^4;By-WrAOMY9j0LRX=xI2!&wv4+_7D;%<ax5G0wKDW;hm6(Em8J z1|ZwJKT(`ax#tj$RF(`GkeBc$j9L*sXe!FVw&ue>sEJ3eW^7%M%I4R40iuu<Orm=S zO5CPhY#L_7i#GJ7wgE-97qf~tUs$G{(|f2l%Tb;XL$ln}u*@XlbySh_Qk#_gU+ok* z3Wug^ua`_cRh?4d8xR!}W#(f(Q`6-ng+0lhhGO2DaoxXVoid@s2M>8fpLMS>&qJ<{ z5g_G&9-6@MX*QTawKN(hz-Uznay(fAN%G(F%ww^(IkC+*denKzC~T#Bs${OrUD=w5 z)S(Fo(i0V=;S&uHWA=*>1DA7Kix)oy3+=&YGOi>E5W!GLOoL1fJ7Wpj{yLeK<iR8A z^8uImG1|o|JEQ1tlT>Wr;gS1ZxKWJkn8LnB%BRb6;vjZ8?w4jCa26x+qC|v(^X5>P zIvw9V=&5J{4VOrWQ!^N{1!Xut-T-vIqT0Tf9_ro=PJbTC_x@aNXNkBZNC*!#YEY=P zU1vAFC=tJ3)#1M`mEl_g%g88G^eOIb2<1v>9Io8>@O$aJUc>w_&PUt8lt_(8Fnk)v zWz*M^PA+l^X_mSHpl9N0V$`fr{!PAJkLtmip4S(5bB@NZCzjGzd$DJ7v=ht8p{l;< zwiKeTI&Cj%8?NpN5$aSEON<LnlRH?Zt7UJl?jd_Z@6J@^$Rtf%yeWS)3|F-x?Vo_k z85`8rTjlTK(K$WRrsS-dxonwk4;g(#J0d|Z==FHr=j;{$w(+V*Z(8f5{oKYT<?=c5 zMms2>n&QV}9R<3%7+cg*BI)OgrP-FrE3)Q+rbI$wg#Vys(pvfC-{+1%1&6qet-bdE z^fXY*zPv8B2!HrMvErTd8vlZk*dj?66|q#2byg{D^uXWjouUYhltel_DE}-*XgRN$ zz6T<)8d?R6jP`szj;LQq2PV_2hoLb-K=s3Mn{QPbJg|lzTM{BG@`z9SFt|7D?o01Y zgP%D63^~&B-mw99g8VrJ^fwdO4#by34PVJ&2C=1>Mu}r7GUqMo7qn$@pL|D$nqR4p zEtk&)wv}-%^Mzi<)V901o7N2-HDgIn**ujB12@1lh{NDauDw_?m4^6%0mt*>ckO(} zjE$_9#b#n0!`;!7r^2ST)La)I_qGKiiPX#!UVOAScF>?#G3-BqEb^^oEzx?V2?-b& zC(*7w!tABXdUl+>vC&*cw{)>Yb2*h79R=!KHiF)=+MB%x__fNV#=<-HGUJENdIPeI zazS8m{xHu8j2nM0pDuJ_rI@ud$8$I>LniReaeTc-sJ<EzIj!tsB&B|&rr%=$rQW|+ zucZHlB5A=ktT?kMoI|Q|bSBk|pEp3mH1J90+JQB;wT=`G`s#PuzJn)g{NNjo%6qEb zzh!Cbo5pj8W-m>h$cllK^VSmVw$QuDOL4$x@W!~RIu;_GjQ*5DBL^WhUya3yoB4)j zoxWOWe>q+cH|#x2MC(1+N;6lVKRe;$zKteB1)iW@`tC&Z-};RCO8bor&S-6ofAOnY zQ)!_d4f{CzJ`SO3g?d3P?6i^T;^t^Qu9n-qw_UCUmN}d`wY%Z5T71#fAdX5S;S6B1 zCi>UeDoj@_!^Q;rwbv$TVZKI@^R4D1B`CjL$RmQmU{68MVy4#P?@nKtDVw^k-G{Ck zgO){-?RlK}F^<F6>ABZX5+OOJQd6B8?LvwHf(s|ujtc(Pec_2T=$We#qmw`U|H@v= z`JImiWcE7x3oO$UIkW4A;qOPh@00+PYqAKo_KIE*PJ?dr{DYXm{cWs;*Sl~Tx$5Mq z2fn5T^Rpn0ly#7?$ysFhz}iMx#WpNwMgln7d%tb_D<sJKX?Fa^ncw^Dp;gbMQ8Hoi zo%)5|9MygDr=jk1fApL1lZKv;XQM^E3uo)Q^EuC3iV%T>Y40EWq29eWSYrSvnfaH} z#Gc$>T|=oi?1>tOzoDG}f}SnYV%s4a+TU?nn`yjR52cc7GJ1=BSkIGLy?nCwg<;ZO zIh1Gd+S?vYcbQi2VfN*iuRPzQk@|KQG<1s%Dp)8$aUMkGtP0^6`y{T3E1dka{PCIK zzoHj=l71Db>iv8-<daIE4RCmEb@|OMbE@Y?=*ZzVRh^2sRCYPn5AQDOTu=FpdRfG$ zV>(9{osyN8%It}XO+HKf_s`tiqPW$vVq~xR9IEzG(P0x`!O9avR7D1PMxmz557^Vq zuYXjjemfxfuiVW^w#&!QIKZWMr7IWS&oWVy_kLsE)V9010XYotl*dusd{8~fH1zV( zXxCP|oL*Ey)I+r-OQP4HfxbmvYV(!TD{rh}Gvr?%QPi@+-b5lA{VC<`h`(n6nqpWr zo1}=}nL2Xk<xu2}w$WM=uP=2U)YXc-mmrNrYCY+lDSqbc7?Aonvm9sFWJqV2$^u!{ z?+zD7+HgN13w#0HVnf-GVS;O2VxEAgd4FU8HBRx#f?vQOz6<E<fNP!EQ_wUN-?7ld ziG#qfp?C<70(~#~B)K~$s`W0tuoHX*REUZP8kF;#Hj_%|6GcGC*icS*em-bZJ~qmC zAwCP?n!LXtdA#`~_Z{V??)7Vt|1%Rv=oblh6iGjo@yhMaXXr_!A>|6;arZg^mNB`M zexHv9+Qx!%#yd*$y%;NTy?%|K=&D)RfN?r{q72~q$m2MdC<giMLz1yi$$&X-T)Nga zm1`%_Q+(Aa0nEKRgvk1-Po`2{yy}JYE~i8!y)PEJ$5~G56$+mvpi9R~{$~i1_zh*g zHsuGaZnE;0cC__ukeaWdQR_SuW|j>c^`igHBLLU$9d#;_!~FFUw=h1e3so^|_!f7! z(^Jr*YWlzX|3R;#wR@Mhp02_mTM+Vd(5B%j5()j$_<69O0gkzq-}NL^ZxK{a$prDe z2$gcMJ~Ru1EV$8NAMz_0W>r7r1YwD_fO<HJ&=)_h&(5hZ77D)`Kg~jbacgOBy=JdK z1^z#M&!hh?r=%)y)w7+-lz*<>e{1x4;Xx7SEg6L>VZO)39g<f4&vh;YS?GRwi9sHn z(#IVei+(sfeh^~|YpNNLO>C=?nk4^04~}$Ii4b?1i8?I3nlwjaue=;{3%Cn8eczA% zbfrYYYO)B4mDC?dPV%abqRi#={g;IjMCnJ7=BsNNzBpF30H>Ks*jG+Bs0iaEs6Rf) zQjwgETCW)9GlQ+R5C;03q%-w7>Xc=<#W;dxdDiu7c2*L>f99_gKU-&(C1=-<b5+_@ zx6mtrB+)?ASWv;l`n=#C<<g%>R+~SOO`0q<xR%2IwS28QD~Hn>^S^d~ypd!rt|X9S zyXb0bF_wLLd<q0^$@iWo5e%lY`=1y8Tbv+nflW9wxyCY$cKWNj4&~BL$P^x^>O<W1 zGTpoQ3=Tx}|Mg%N3HTw>T)FCEe<<;1H2eA}<`en<H+~+xuB7ijFIOv4JfxJ9B#A#C z<>sy_L;9JsLVi2J{{rL(pbCSKo~xWzh_Sn$oRZHlIq2jG5$r;lcu-0`FuJ%#>+Rk_ zF>)u$%bxO)6GRbabbCHGZu-w?qN|ygDoIccnR2wF6viCcAVMG-(yKMb;!4h9&~5W% zVYk3Sv(22V@H!&$fdAnWxTF`#Wfdhu|DPrIqoeF{^l#A5RRD}XL!e8@?>19Oueiv^ z|0g)i9=OnEEpms%9;9EF1-KA*;>025?*4M>e}iNFEWMp#^SkY^4Bx?PvfMhQEP7S( z<gvF_i~uo^9S7RLP06(s%V>PIqW8OfkNE1<O1oO0aSNCor`*j*6nGHX=~B_K*5#`3 z-8<ZR%6FPj{Eju;tkXKDKa<S-vwFG9jub>)v`~7jHMsI>AxfN%hUF2g(^`RHP*VN; zry`Rm>i<^%Y6)b$@{=RUKvGNU>2FRJB3hnJBtYX#5LcsuqiYnm{g^x1kf%|~NIw$( zQHI*MjRVyN0kBhjA6SM<iGWVcD|Ie#wRTr&snAH*EElR?)7-Uarf$?`nh2dWxdjyx zj^fr||D1}PO|(eS9Z~%|Zfo#lb?x<bs>??Y6l$a!;eY+-{P_uWZ=pTJ(6ZFlq8|@a z7#)m%@<=M=NUf;oqN4~P&P`1UWgU-8%EM$aZX;cn1@@lE8eGK5Mn!K|$y9^0;Z+N) ziOF;hYcy|`I}L5hbhLBW`|dN7_+na`*jJ(w;r1u@<<{#E$grM3;|)rua4kut^qNv< z-d1NHM+W$cYA$@zg~aGGB1%tE6tFc;<;q0Mdkn(^?z9RwayuHHE5+jwwaG!2qc%ot z8XJjHK;UtP>-@q}@X`n|P9<yN-p=HJ6%V{QvIg@vkThg$y0oRaf=*k~%Fl-80n4_D zhJuW>`@4pUN*m*T<R<!Ik<>iPa$2t<miHWF!DAQ{&^HA_!Bi7m(b9HiTINMl4LPs! zgJ~JH886vckp+IkMbCvyS3^z^lPYF~l$_3QfL&Q~;NoA#2;bNzYHwZlcOu?eOT3B> zwW(b-hApMK*tvVIKT#UXFod}w0IGYga5<C*@OGGO8sGGqw>jW~<_5gdvAN^um}4dD ztfN?~Ct_chNdhAN8HBF5m5e%=Ap`9)#hrX<-*EonBn}X!L?nKTzY=#L#jclfp*|u9 zXl#-;NMBmwT`Rc{3s~saEk)-4L6%^E`l|j`bHuv+28GqL%I1)h;uK|5!l)QMaFdWH z8d!sIPa|z7+1LiI?<z0f_S7EpsI2_TU!E8mUvOrV&Ns)<UR1P@l^O2rJ5vfV4sAVk zu)aQu;%#5?l}~&aey3J`sm&EyAStN_nAlA=OPksOtdD|EJ-km|D2&?B&{UFml)D+e zzhpM^+d(Adi)-g93(b#;EZpd3`H~i*zg<d50TIdt+$D%(7W<L`6-wwt78@%3foj$~ zMdPRN`54yeKs+s@9Hn0z8uqn!<jaexc<(nJjy8OH3-tGR!xospMdGI2zI!UL#H(@U z(5{$-qrwpDo#=!d4{@nVk;s)0=<*4)uHdIs);^`N&lwChu2jV#RuZ4W-Z(Tuo9R@n z!p>Jpjt0KuiA?Rna*o!#(6@$5p|H`j=xB*&C{wj!o~1Ir0{0e5d+XV+sg3=X4<LkP zHv;X<nHi`R@;+1-G+b=6CtARYkG<w=d@WNhnT(0KdBQtvZl#U4R#|GT)*v;`VYtfH zRLI=94L<#1{H|~m5z9b@)~N1D#Ov^NTY_W~%fQk*@{0Fhp5#7Od|xaV>1=%548``E z-tl=b+Dm4O=3kzSc55mX$0cze9J*=RX0BSBCpto2*Bnsll<%C;zhU4IEtfHTi9FOw z`F*dG3Ox}iOq@SL?7gB;OF<pVuTQZ!41dv|TWD0VgvBb}sQdhQO}o)8wrzh9v%NAT zRi1ZfF74KFPr9W@GnN5&w7dOT!Z#A)!bv)D5k{f8Ml@>P)YZ~``AD%grA{;Cck{vS z5IVMG%#BF>5)&Q%;tD_|2a3=jK{VN=#2YK9y`wBq&Y|7g{mq37$`@2%)pw*FRg=bi z0?E0$y5~>4X_rTdq_eAsjMVH?FE@;i&R{DKHcJ@9yJJ9~dTB3yZ>SIB`L|1}5KON) zKF4VL<`C<-sh3$(?e>tgc{^>wW?BEO-@!6cr}+kDk_levAqSY$ebR`-T^Nt-5ywb` zNKJBX;K^Q2GCDR^(ctaHacpgT9lADAG5@dM_+Zi$q$^yaNa>LSyRlN*Q&!BXQt6p8 z4flS{IC`SsQ<LsMzaM|Xu3W9RU2I3Lmjbf2`C9pY;)=a#EnLI@P9MKQ{JP<QzG>0( zrzP%hRp5PDKmP1hk==#!%&CbE50AEa?<y)Ty_8f>BFGY7&P5p0`owLfe1Cs7*D?iP zZb2u+crYG6(P~vK!Z99y`kU#l?uV)}v0uz6<tk>f7f5+zweKEvQPhT(4n=}LwlR+R zoA$1FC+yAsx+K>BM-)Ni+XbMiWTlNHnQoSH`tQ%4yPAJE#~7r{GZRugv6Jo##Su_q zkE$uz(B=|J>`c#D+doNaOclrt%k$k)eVCmbtnOQNtlT^~oh;mD+(Z*7d}Y>4TDB_k zN!0GlQEKs#EZ(1$I&3hsm<&H%V3x<U<>bn(6$!7l3W!A`G5l4xO9RwicUV1Bxl{<t znWyJ7EIB1_m@=?3AI$NwG30tZUE$Xs)13P=e+;<O-74kp0en@+p#{abn4$Glp~fHK z8uWT}ovC9`H=)`o{WS*nusRre%(;9@@sH0pwjaOa`*A7M_)TniT6v4-1!q(i3)Rv~ zYnCmIG`-QwC)SO!TY(S9QpiT2+3G>b^-yR<61!zuumPGLo;;I&K+1$en)Wm6f<>gK zWw5lOp(SY=w{)5gU1R4rMH1<f%&_K9{xPdN8d2-TsZx1&jY>&fjS7wAI!(#=v-6)z zm8w?jJn5H2r*Ya&@hVtmm!D@bmLM5iY;#=1Nc<HNx0B$JA%HTW(@LLYSyyzmNXx8e zN#V%B#Ib(uDGQw2vs;HeO{a)ZobM?U$x1k9ps9M?JiuOvd*XM$Q-(IEQ#`gBZx*!Y zI6r=<W@=S~elx$H%c~?+xcJwiN>A51bZ$cW9k}0s;;Y$dLFIk8KhG#7h;+&~5B$p@ zSrgGOAkg6J2H-PL5C4|`6Ay!Rjm|*w`g)6E$}!pZh&VA&&~l6&NJPZV;+wt%v!HSH zXuZ`KUh6}S6xi#5-#PqkV!&l#VrkD(Pw;-*{;E&HYW5P4U0?OK1;pu!wHVLvjyFoU z4(EzX98XR+Dq8lp52lP)TGoo)>L5xZ#60yNa?3N80j?aoGROm%ro65xuasUQuCGmo z{Or*@IFesIwH3zdbf<^~)Q0ENw85o`=W={&@87QBG`31%r>2N|A<UQQb|@jxjzhlk z+ci~QVv&CGgP~orGi71ZXq%U%b;7>*S3QJ~*KJXm4r%Gzh;26uE66KvP+P(e+JGlq z{k4!S1DGniRc_08&p5QD>RgiBSf~BdM_XaOQ0&{anHAPs{-vSQOiChBK)dk6H2WE5 zYg8o#Nw6OMF7^X~e|)EBgb2II02$|^iaQv4U3Sag>dRfme2OrRno>amd~VJh&vhRD zLbdu7vGxhNpqVPuYM?QUAyl6XF?;mMccsvX7$AQ?$}%i_%;A?+lcL3JkMN66j=Mox zfF!+Y)Szb>ty7#|A>*IasQVwHtexWB32(Y1#7ARaW@y<zn(`tI&>}4UpwS-*qa(<G z*}`=&nOMNK;`%wGH)%qP3S-xG|3usI%iAOkIEAx*-e}1Ik%-~Ylk}0C?g;6LUmGnY z2>>ZPh+oHcw7$Rc!hj`zcUv-yq?e9IPTLWULB;z`GSI57cJ%eD{@1|^XM|m;z=d^v zYcL7Sjyx4coM0#P7CQU13bycVud8|l;iMF`u|RO@jl?0kAgTd;Wxi&|u9g6!gFGfm z86L!`8Crcb-eCm;^h~(hU=mz4y4X&vzg>X977Am`4o#Ew*ID-Ae-N3~>PW4+c*-y^ zbl{Qh@-}{BS)-nn)rAS@QFNi<1KAI#e+#X56;LA0=zT-Af$y`a{k7l!(J8Atxc9R8 z^_~YANBB|It`ssD5~iOfGyYCZgWdZ9X11yMo!rkxLI2k80J1tth?fC(STj%qf&L88 zm{UK%a-x0Ws?<3L8+5GvHa^c!w{Z3H1GM@j`WlL!3fUjY2^&D7`xOS2>=|~54)I7J z_{cz;6Io=yQO?d5&xEmt!&r{2q$hcQ`|p~rtL6qQY%$&ALX|^|uM8CjA&QyZ7wp$) zmth~ClB@nCH{}E^!l7YhaX1E9oQF5C5{L|uzG|=%P>^pW_DB6_Fwij9#^A?Fg7&jM zE(9*kEDjk9H(S|&H`%N%B{P|Dm2@_=!<7#4+!cY7ZB%5?PK)dpAqS<d1dc7Ao-eJq z_Q><FRq(0mRCp=c!iL+SwcJtiT3?1yKh%EF6@(d_ck7s(+k>LbossqpJXnW-&7G+R zdZ9rA5VDDU%+>^_X0{r5F65m|SVK%{wzKvZ8~SHt7bX<2yW6I1Ey9t2d8US;2x&^| zmSgJX790f;JyW~c{Zq6mFDKThu`g7%`fy%29}VXM@fB=eea^_llt1H1zm>nAPdaaE zwj0N0B6**Izvb}xW)_YZ&U66au(C6k{$}#MlJE$}(ojP?sr^g8@f5<;>1v)oWcMy) zp5P*>&sbYQkeN`E<5HK?eYNfj=R$|CsdkF|8JkxxZTDh)l<86@vi?fOA2l;i6jj%b zOSg*5;a<Thn*j^7j;cRJH(9G~frteGnVe1d_Lqf`*7$BYAl1G}atsUrZzS0O6^Ey% zVS<fT(&h}dojj`gabcZfyYWv_0CH%VCt5dzSWO_!DZ)0lnfo1om*?K!ZE*BooquY| z<Phcvup1DXy(er2d9$j;5_uehbA&jJ`AVL-b?$60ddA;%qg&b9n8{@(e&ig2JFBc( z^@wDV&Jo4!{R{Tk=+K1)B6o6U4Ce$cS+cYF<n}QObdF-!4WGUbHjBT=#l%a1?Pn*R z$=ItwURA%rv6Jv{(EkoY|4Vb#7HVHSD%8d*iUFF#-#aN&$kP`&Tt5>KOzo<Ykrw&c zMjl!`rMb&}s-{Ik@uT04azC5FLFYC|&;8o}w(>cB-4(cdu^9vbpUm=U+zI(IQMY^J zw{m!P&lgS3x3Aa}&9jSnHyM^wn)Ey|oU_eaBc<Oy6z_-mKE|R6d^TU>^$6WAN--~% z5}g~S7NR>QJOBAbSr%{r&p~HbeTEa;opv8LmeJn3Pr~0cv5HLNy?P|+q@{(e*B2~8 zV@%b_*}cPL2%5Hme(PM8$=A8{5Zmz?M|acP>@+!%U9WHKR9wm2Hu+W;k`5Iz_>?Vw zj@n93H&`m$x|W?D*Kg$r?%IQTFIfPuk7mM`6UbJVGg()q&27xX&JR5(@{Do%j!m`r z0nXQs=F0~@FSY`NO5Co|NS9y5Mr4y;xVVcwzrT2ki5<%TMvGq(hTaq73C~R~V@XJD z#3P#|S%lT#E&nWcc-wuSDH@~bTkId_TdB{doW4BgWl<h6ky?Mb#$)F4*pp!yUHMEJ z3VH@Jwp8CVb9L<@UtiA^n4V_V(;B%dfw4B^K8}6gE1u3sw&^+=d-u*c;Yh?;G7?=; zF-%Rcuwv!{xHPNRN^?3~NW-A_8vM>%GpNVMvP5T(#<ut_T1_^b@?vF3Da4`@P9Ajf zQDIZ9Hah@*=^8M0SnYkX#6UZAatS@XA+3Jf&QjT7J{IZ2D_-jT_7MNZEZX*1&sps& z)iH?sn7a%qR@j!jm>aPxWTzJM3e3y0TF<3%jBOO)c2*b-il2Y)L1bIyuwod7BYqi! z<4aHbq34jzs{UZ7b-S6N-k%unhK|rNXK@nOQSJ9~%2X|v%v4XykMfN-g=esRjYBua z;a+m#Mjj4Vt&j4<waJSpy@2pXf!2M-<aeLw=N!gC_jG~PPPNT;R|kga4!duv(<|yY zhG4M({v^#&4bs=|CMv~m>4VX(E|XceH0NSX%97EM`LKO|?CV%LlL%JbS>ZCDZ4PVC z>4jj^5l(Bk(yQaG;z`&Z|3ruU$T55wj3Ok&r5jIxV)D}8Q03xecd41fkK)w7$XMog zaj-HK;*4!m8nS+=RejjNu8Y^ik}xD_wc_3e4in~#Oy%U3WT6aD+n@=sB@Ace%_&%y zlL_4C`C9d~s+<j1Hf7BU2BZF|xZ`sUvzxEUngy@+R1a5YWK=6S*$2GzlZ(kkMWhMC z*Eq5a33DIwaMmcDsU!+Z`D-9DjgHBx<y7*aaub$E&068id-1^wZq%xoxdfb{E1}#2 z#j`sUUEOXmK@1L?$T!ihBOM}k{m0XMqSTkBeW&_uyuo;}5BA}emM%$kHg3vv48O^q zZ>mq_-_}}7s>cfy#$BV(1HD7SyzMsO2is5axUGfV*!yaGU%pq%RiFLt+_}p8HI=ZB z5FZ%(EtP5yI`b^ViU0lRis0)#rtr5;ptu|oKZh9f*nY<>FNGe_R#AaTw`coFG>Lkn zmit-0^7K=bxY%S?{<=u!@#$CT4Q8}2rvo?->Tf4-9rUhbaFxFf@<AO#bf~KLWj{tn z1cjmwj7+6Tr*1ef!*|V^A2)EO?3t($%&?~Uln08(pJj%yn#Tu9cS<XmRE#Em1MuDR ztGyM(<jRAumFAU4J@FJumDID-S18S;l!G`qWr7~4W$>SMDc$u5;hMn>Tyasga-gp? zE_oh)e5wb!nS};wO?=gfOEN31Yge>De05C3w@S${3Bm-8T)EdFGHJy}tocHbEkt^B zrY*Hy^};Lx6uU$@ILk=$DFF;-fPse6JZmu!VU&B+QAD|rmb51U8Fun!4x$tyWe@3n z(oGqcs-WyAQvq?fDl9elu6k#FD66O+Yd4Dxb`_Bz4?b2r6U)FcIS)US(iZ|Vwyrqw zcbSX_PDayjPK2XM_*R`Ly<05x0)^&^m&Ul#4z%)hSKL9@vUyWp#yd;nfZu^2>sOf? zHWD}<t#{S|tWX!jrZBbcQOtlO&+*9V9K2ju!K_*yMlN28PhkaLi!NrdU(snti?><e zUz6OqXU7m{oTqr>x^K`!D|yCT5nsQF?Wq)*V?<&msmJU=Edk&r`xX{-;<|#dlrfc@ z|Ddw+hiVavECmW(%6=$f0U|=lTo^iNdc~E&5*1wV9!_T9QY{{JA!nTmxibNy{gXF% z_*QTccAR`Py<0usn#X=7AXT+{`PDQGo^I!U4f_*%5R4wb#^}9mxUmT7G~UpH^)oV} zzRZgU1>^CK32fN|t)zmeMKxluhY0GN5*rg??6(Y&nGL>X{K1z8U7+m&6ghw>ilM$U zky<ldZ0@E7BWfhlyIJEOtEa0%;2+9!YWMchR5El;s=;8dF5Gnzwg}OUlk_8edL<w- z&_o*&E_MaY2=W&cOup6X5&9zIS6tLiKkDn2hL4`3is>+(_C4IDN9Ru80FJ{ym{loZ znxrEsHVYftVPX4fQ~(UN7ROJ$uuw*(kCk?V%Y8e#!A@m6D$<5C;xYIW9;?QN{f3ge zHH~eygkHr#4I9L7-`C9QmI4|*t~=$LS=r|d3AfV*(0Xnu`%%jNaSDcKPo?q9;%UWe zH?N*DE->VPQ|KXxUa)Zl{C&^*5x~K|Ots07h5g9$y$P>23js)h$m!vSK@N*hmApQ# z`9|K0FMz6j2Vtf>a9ED$?=Sr)uhr`>G&C0)qnF#(n8}+6Xu_2!tw0IyK?SS=wZWvG z`l*AXQbVzRKTBqTAVIe)L&O-(1H<73zv$Yx$7|RbCMADj89dI(4xE#f?+ZjQL6LS? z!4WcLMzfuu?<%m%7=F*X3Ka`^N$)mEZ=O>=z>*C67y5!zsV=W5QD69Pd+xd+aW<sV zWr6YahZFD2irt0c?R6GA>fyp3S<OimdvAsm);?5uc8^{+G6kLyq1N^|4|^w4g#Oy# ziV9*6?G<XCr^s!?jDg`IgsXQ4#8*dj;~{=q449<5Lvg?jtioU33zfq95xFp3e}$?| z@;-@9<<CKYmEYe)9ti!I@}heo8$y{@!dzVrIu@GzP(Fj<D|Dtd&qsd<mwcEmGuklz z9lw1#0c_7^^>u2#Z>?*;D2EmwBZMmp%%Q(VL&*jVRbT2q%zsguuq##MKaH=Ci;=AT zZm7ZxlRE*lb}NVEBup>e4v;k8Txa8qNBd84Lb;ZW8R+cx=tB8kQU^wyDv&cC<sfh% zRWo>j(Su3JXW5fRJjEo#qUCu&!Nfu|{>xHBgN+JJY*s~6GCA@VT28$>5-#%bb$^8O zoA;;5^%^q_FPdPL2yP4d`l{WnDaz851>VN*D<uIKLFc}a&R)%fU+H7=Kx4U;1X&AK zK(J1F^5&kS3i%GowMDq^mW$vFNm~-mHTW-_%l?Rc>j)LLOZ0OyGTzOBhD-1hWw;TW zl1}hX_Ts?W2#y+@ya^oj@6FupA-aeo7j}NamN$^*_>n$k^Y^>o!k$?Jnr^^2l|FvJ zrC2f`w381@q{~rdSZpj}U+WfXR@K;|4}7_+9T{Pl;wjhEWFC;WH+Lobh1DZSh!DCV z`)eP0$gv$_%8T)F3yFsh>8zoUIxIRN7#<^L6%xvy2{4C<q>B7q=KIj8Jgy%vK&AF& z6w^?U><|>7-MK%F<I2}Kd1o|a_Pto@)=3>$fhaRrg0~iI{4+h;NcKpFgXSi>wPlcj zK=KtdgKd=_u;@=b1ThrJdk#jT5f`y2BfxBW61VW@?4|t~`S#y5Q(5(MfZ>n9=rg_r zZY0Fb1L$@$7Lo@f!w5aN7IGaKSF`zi^Dmk8tA4w?`gDu^G%j}<8$A3co>3gqYQ|^) z+IRGs2TVpvZ`R2ZMtWQSNqmR4L#C3^qFwV#T{T<yu^cL2$fE|oy`t(n^r1)+_AIC0 z5VGJ2al*8yVOp}r&6UiiZH}vNHY?tRAAOM;o6ZiV+e{99OpE)Bv`RRHlFKq+xL<qy zw6>If-yT)<eUGwagvC1C%Q76yTl?Vyq<~>vw8ku;Pz7G8vz+rsU!k*>Z?G`Jr`Yp+ zmDKXRHTn4u>dT449#nObkS(98j0l0RMOw(8%-fX4G5;1b$NQYLPP+pJy-$h-AD7k0 z#kY+{25*mt<mPm##m$#DTiUm9XxRyuw`;%KiN}F_?&lWf<hz2G)|QLG?y!k%;OS}Y z7uTJ_CFXi(C$JS!DM)Me8?QF`f#u$g`xh7X?R?qON;cSK=`nqa$>qgiyWGV4TH$+i zD*sJ3CPsKrn^IY^mIB}6X_!n*x~M}RxfLj>8(X%%sK6S0fQN<=q9GJM)$4Jl@RQs| z%4Y4om80Wa2~#_H^=W7IqMhv@(1SRs{nXQ5n>z8fJC~n%a(dDm8x~}>`0Jwo+t`a7 z{iEvvVUyi^^fQ4JKko8g)e@<%3<7JMeaC@OC-Bkyz~5O+!}7EJ#r<%C#>VS7czJd6 zwWrV^zRlG%AzEqkeBZt<pOIOR(A1B+8s4n#rFAo(q;q}U`zwAo0Y_ORP&m_pQaNt2 z^zqByO$|xtxM-b$!f&Zu8tI9W%rec@<?`$uY?L}OV{54ztFQ^PHW{OLNc}+wi&Jrd zTwPAD0e0a-u#+X<ds}n!?Y?ZgW+JtIxVlD0ve0@a;U9fRlV^lAv*>#M^aaY?z&XUK zi&U&k4%ziQJLiOTaYKg~&@_~9ILJw|CQF3pU`!}w-B#f6qG`0^u2$+8)B*3UR2(?I z*ypX*PyShVu%|N*o?p^Ys*#$<zD5rB(v5QryK3pyX(;58>lS(aJ~=m&#}EI|Ij=|} z?W{Yne`>}G)<}p7?Q(s~Ii1+`BD4Xq=Hnv7hXZ;GL%YzrbKl$n(k_i3s<eeqA-%s{ zD&AAJPEPN7hvSL9HtsxBz)lg4VIWujeerT(*1A2z-FIxi7<c*D$q;q0seQr6ox$z5 zjNlg<*!}rt;!{kDv}$ivo_Xuvp0wY#B_X(DP%qCv*cth4goD~Q^Y;5Uv+dop4MFUK z+||1^>$4l5J_B1jV3KYUzs&&pp5fEx>;Y68LBPb}23G`cIx^v<CmQF9_Tf`NTjsUU zp{vQr3$eyQF=F~d9rZ`?W@aDJ`fR$SjBHOjC(kV|l&8-WFn!;x*Zq@8kc8#Y1Po9C z=XRg!9v@QY|GR%FslTbh@nC)&OUduTZzyMqCTq}%aX$g<`Z2-KcRZeydMdKy9>+RK z4rJY^quOVV(09sOAilm|5(debwD7+y4-e10U)U^1!A$3}<e%HFL?>TFE9SC@Et6ZZ zEajoC7!d+$;M(iC>tfaLG$S%*sAHJ4c&D5j!}bIWkYG}r87BpIOT&xVGA>@E)VCh% z*j{x0)(*gVebw;!XE?ausDCp2bpM5))pe3vH^X4o%XxcwY(@E-yKP<&h@YpxXX2J2 zIyky*xMUgTj$w5(#_~JfaD9vK>E^U5T^sFKzrCV<c(D2MneS;$Xjx2X)ir;%csiFq zB{rkf3RS`ZNb02N-c0^W75ORM!yfZ3DoX9SVi(}8f<62BHpVjJil2(j%NfUKY!x?8 zX`|Gxcsa>#8E#^1GHAWBOcMhOtydn<yJayfza=~Lo$%a}Cnh~9jqlWg5gC7IgD67w zukPM`;G-E}7}F13a0SI5N75tGV|jO9WWjD|%0EwQTFcx`AvyRIM*Cdhg%wN_qgK14 z=?+BsIK>9wb5$<wGkU(lO1XZ}+|%rgrzFE%qn+ys^W^m&a`~sPW$t>yq|`l9+X$QQ zY5GtPmiC%z5D!4~G7rHGmkSpY(KM;gXelw(y|yI4P=He-TW~Q#r;~Su3#vTA;5RE! z5p(pQl1QT|#+oKpL@xex5f0V1;GFx^?*JAKKq)ek0Zb)s;{(N$YPq}5R`ymo2c#o8 z-3?R|)IO-KgJ){Jjhcaptt;w?kGRJ_=I<}dS%;>mr}kaY2V(U=Os=KAPDzPdn%<#- zIS|BZfhF}}x-xBN43)vDW-`eZXvN4K>{9UaMK#Z?lrFFWY@=`nrIyX5=<1fk1po$Q zME^qJe58D2-KP#pucJ~{cmJY=0Mo4zb8wGS=&5s?4X%NL!(nQu&K0$`{g#UE+7*6K zs(`k79xgdnc7+!WTsYy)Vx)2G52~lcl*A`kbZ9jg@|!-L@V%D?{?J|2Fv;&fvn&{Y z2oCCqS+4h5R`=L!+UrY>gV7?*6ala)a>53fAG=<STOt`Z)Wjf>qwnR*BnB{hDqc$n z$IL43((ZHu%@B!WM^mS&@`_<@RRf*p-fTr4Fgi#YNFj|)0=R3|+-dX{M*xm{ih#;A z0Rx4dwyts@qx;<M(23>I=ilj~z>k&zx-9<;QM?^rmOMKoT+npQZ)e|pEZ~>iaJw~! zR)WWlac<CeX<i3(n<DBpqNOJ_L>T8OoN@8RElcJIA7MLVbfHRw6i_}CK|)1Uve-5v z-(zs`Vk)FP%4H-XF3ZW>_f%I{l9CBORHkb5N=o+H(kE}d<`}f8m~I0OeYbVrTX^TN z9%^+0)j2;uk869!BPUJTIzaz??^jtLdTDb;CNy|kHQFX34JC__z*N(ptAk9f^iksC zuIuDg$9m{K2uCY~rhTKO!qM!!!k)ywO5!@}-x?;Uxk*Z;=GaNY;cne9oivGQ_M$NI zq&(`=#%dKgU;S;^WXuQK!_z+G17{8si*N_yz?+b(&=&v6fc+@rIsk%nt1ze9AQ83< zrr(Kg`>jSEReCLU<r0+a1T*OksXK~}jN|@g(T)m!=q>)JWDj8@CN8~Jg`T^n+^j+y zK7{6>^-fDrXI=@2N~hj3n(|VI+o@h;r=Fx?9yj!YJPSeieqaVG8B{2tm#|L0-0UA1 zBkqb_uku@%31siOUJW2r3o?JY>qjhzS*A%odYtH*DHjeRD56J1<}gLhWSTB(r#0x; zMUZ@ZqLkwm7Lr>NMuh+#OZcSg*p3;6+Eol3eOWrIdLgyX#TZY(!jQa=CvCRs=E<`3 zqC_4+#kW<-qS~sNT8W|~TI6i5Uivy~_1&mbdO>>}-S>SC0f0i~sw$zYiXCi8QH>Vu zV4$60!W_VvUmrpfG+4_-wCou4YIrM(Hg}&(4n&t&-){+kN!4ePuX6X4@uy|%8?A&; zy2LmvDKOM=Vwrkc9Cb+ksS+>774_#;lqW~PxD_8MC2p<SIYI-Xmq*L*Q+<-mv+lb- zNR=_jYDbA`0MKCVNT#GjK>K47VStXdTtojB+BtP<a$VXHKFk0kTP+lySs)_$kC?x} z5!PQ%uIepRC1D`z3Nbu7@rD+TZfBjf_|>{bY1?Z{yW)sM@a!L(bdP7<75`posrJYa zNi_|U{}_Ba?#T~Cb9x^yuvSp-Az~F1N_cm@1BLZBIAG%G=&r8Zy{hid;hkQue#)5E zWG2V3cw{-U#FprnAj=eAzCq8~qj2rQO{FDCI%+K(^21+c3O9jrPX}-#$;Z5A*t_i1 zGx}d!@}RqaFOQ6LIESBd^EE2>3P(IL=AF`3F;>1m4&*H&92Gb^1k<@Iz0xLD1{0+u zBIfHK&jV$Yl~=;{I1~ocL#jR}vv<Y{#M02llOD5~17%h-YBybG`xEAJwG7OtI2tfG zsZ`qiU@{d1cK!h5(=8sKhyVv@@|@5rYSKZbtZNJj!|_hGsG5-Cap(BgIC6nJ>z9wU zz9*+>e=<h$-A#9^u4`}I6X&4xtRU`f_n-|MMnIUnT6N15Cxj#}fJeHEQr5uiFWSMl z`V{otDQv@gcoHc1K}Y8wt&Fkb$2aH+<QAL-+rt3P6P5VANpu;ofJZ#`VaFM(0W=J2 zdtbzXFPNLRaJ?&7LtXt_Ok5BV?0g$2`l{di!zl}!QmZXjQz?TqlA)n|pY1Bvt}yOP z>;cs~o)#Y*QU0WUG&gxfMx+uRm&AyeZLoDgkxC7srcdFIiA<)0(x18pjk*jJS1ZuH z^|e$>cgqD!T}EZnKmUPI{ATXPCrEC0pUGe6?S|B~n@;+ocP5VsM(FegjTZ^lmF)9% z4RQOmx&1)iXX{HxTMxPZJPwGSc$<1vJQr9tfJ?%b5PIJtZ|`H49%&}cBDT)8J$QDp z8rp@WXys#+5xtD8d{F26CVT+ew{ygv8dqz3f#!GH#@2C#=7>LaIlF>?yKr~%2}!1H ztIvGRBu9#;`S7QSLco2{K3NN>GNki8#);*NS!W&hP7Xm@VS4|LU>x(a`PEw-FB2Hf z{%t`0BK(oKMC4v_rxRzL@Z0$4V>r>BHUw<1+~Gv@dX09q?`@iY{dvUGw)5@2mayec z@3P5gQ-eeI`EtYO*!|;d25IwQ1e5-4wjX$h?iad6V&J)dY|QX^cI|ju-US{Rket%p z^F8-<>rmUk?T#0|KG?K4JR~MhkpsocLO&<3qrN6zI!^*MhyE-N@7T7vtgajGFAWqv zrz5qMFB4HZtylCpYh@<~=k>l;8|3MmR_vWcY4T9XqOY+s1TTf4PksBh=;-zLxmkvP zx+~sq(H&2Tjk*p5I#;Qg&o8zgD{?v?iF$o3TLO$;-3<J1o||n4AAEOH@4(B2%r@<^ z@-q2@Gq10U105d**}2i{)Q_c-zs;2C=TnE;KIib-KOGP82{~VmLn;VQAK6#;l->Bu z^BOh1?3Czi(y@?e+$M3xbz3j{NtSBWad=Z?4H3PObUK=1I*jhxL3(`5GqazB#|ELZ z!@q?A^0m#!8`#vF(5d>vB_K~%)<8E{Wn-zC^3D#CSJl^$_u54gq}$+z`{Zo5dGk<C zFMJ$AGAL;pNg(0O@Jmg7+Y@aS3ry@XsgZ6yR>lSNSeAnO4$BT(`+b>|ONp{(xZ1Gi zyE$JS>=}ZVO{V+XGO3DHvrGqcs{S^&>T<Y@Nz_$==+T1%&Q~aQYyj8ugbHs-y+=#9 zdU)3WBd#F^RVKN|9<{=nVd<fZohqN-j<_bND*d@}qtnJ6yCsWd$!L==4Ml2+&U^2A zFdFaIq~p_$(6N*mxjE{4@mn$@yaKoTlX1A)NQLn?Hr-X%Yu!KRVzT(DLm6G<bLmfZ zsM0;m%X_*bsXdNpgTSFz<e}&%^^6>$n{;2T{ufsP?IdVT!i=43-{wBA*Q{Z=e+ECd zc->fa$9Vsy-*sYbpTCQINLkQi?miw8+;hMY-gYEyo1C#((F44PY=a?hAu2DJxc#k= zFG$%=4;a=)nJ42U?n`}>?IB4HN~vZ?ymc|DH)ekxxWA41hy$n<`Ya3NZm9akqaEV@ zcxPHp>#2e~mzF%8v;7%!JXUd|>&(BOaP|-9dbyG6s3#2#H=meBPo69$A@jX2^P8hb z9eLkgCqK2qo$3yMawI<{4=;hU);b<8br{bywqryeZ#U7msTiEW!Fx6ulcp=?rJp|P zde>wPMtMJ=4*>cB3&=}(b$TvnAW4w-!#KZ5YT9RT#m|iz8m1fOs!`;V3d$@CA0UOA z6?$z&YpVEHSFZwHHB02VTGa^d10TO8?L*jYJI<K7MkcY`vSKPdmpr1GJPQsVhn#lr zl&10AmR%I7-_|$TlI*D!U0z*E9lXz$Son=J8q&Ao0o+H5XRG0-vfB`+yQOMW3LpO# zSIA97&m6^*{RvqwkYTdRO=pRWrg7}7gJuC(=PrMP^g=O%cx4St>AtO^*RwTSgr&^z zA5u?D+uhW~zslLdl^*TPjrs_V!gX-2G+r&(KT5C*oUhNc=P$2EDf+`KqHB5vC6MVk z(B;Gl0Mn6RExza^oPB18)X-UGf?*p&^NRbA&4D=;MJr93yrs6WpqidXPE$V;oDSFo zbL8L7^c$2g!WS058_RqnQFN|8JV|CO;ca8mA;%l-*RJmSOB}%lb~5iUkG|*XsmPa0 zwtu~ZRf8p;AVjK4=}CchYA=Z(w8tilBdt)}2BesTO}c7q>_<6X*t?*D_QE5W-&F4Y zs;`W5WV)68^$lw@RqH2wmPRyj5B&S9Lx<iA2ChIGlxs%{S@}bV*ONf?8wr-6yIf!( zcH|{jZ6a3FSO(rUO!R<Cu*U!cs$M)ntycxR9G#pVB0Bm{h>d@$P`bI+ma+4t-z#dd zHUPO1niipSOiyvDY-qnQ1ZYhKyJ?wNj=+C03Md2}_tyC$2<LV*WM(0Efn!kxizs9{ z5&~+uSlMynZOA5pQ8@4n)u>bG6ZS-`b0huVd>4B3A1koF@?0LH$WPE(l^O9Utbj$m z2(4c<{I)tdZ4;}fM9C$Qn>qhbWo~=_jsh&Gh8>~kmNITd_TS?FWDm-<D~0NZQRNWI z52clC+9+Sg2%V|3?hjTDjF7ZM*Db$iUZm#1Cn?pZuN`19jm_3X6Ab&vnql-@i`Iaw zMH27Uis(Z(5c~zNAHW>I09AJ^4gp~COHfA<l?9Uiw0a`Oi(iGShpZ%R#2a^z_ytfS z6I%{2?wqe`N1F4Mb%)WgLeoek6qqe!8uK#`KOr2a{Rr_%amUj=9@nquiQ0r^6I7&( z*oE^6_cORcLxPCr{L}NM9@EgS-3P8_zQ&HF{4%q8GodoN@Xt`-P%0}>b*sD83UM(x zaYEdnyd8<BYr5gGM{IgY-HPhUFbLpn{i5fmkrz}hMFr8UbLB!DpvR02^_?9L^1~X6 z9;BR-;^c&Ak`7~PMhAXkT9HA@N0ti)v4SFKU^nHuor*eRGGss;Uo!K582hH+OuL}l z*tX4yZQB!1?1^nYv2EMV#I`-*B$*^{Y;&Uj`_JX6b8&9^W>;<0?!BwJd#%;MY+RTo zZVJwsMjd?8IRmwH+SO?7?=;5H*2Jhh<R$PpjCqJf7l_TrOJry461>{!4dO`OqyvFe z&5ID+k4#5>3hG0(-`(K0nbCy8gy_h0J?f)U0?`-)PsL8LCfiF+x{wuI|G#FT2j&++ zkMhxcG2Y$k>?Ga!U5-Gx%yMf7v5~Gqt&g*vVQ-!0)$tU_85nWtp?mMVz}^UNNL_ml ziXq!|(t4qr_(Vc`X%+Uhpq0nVbEUZL9GSm+r6rs8ri%}0Ah$;otmZ9R)=1sr+jIU{ zxQyM3?DJXsDq9(^B8BwkD)fV9mN+SopYo>Wz9?aoVahZ38QR?e>s4?^G;;loydRv{ z>p5Qrmp05{ALz_WU=H^~55P-`Gcl)Jh=W7EsoCdwHxomOJs}S-q=r918x`S}+#SS3 zMf|)-HU87^3bWwSuw}CsO0?p4h=+isiNdsBHGiNrx_je<9Ut;ejYf^jUaCnP|5P}H zGg)T--No_n@}j(7VcD%(Gs_L`n}Rqy5=>xkO#8PHTR>wOZjE?VNWfzI#?o|*3HB%7 zUbQuU>|)!t%i5-PUIjL#Q~B$!-PLyuJXLWTR<I6iJ>vpGL=Glm^g~M-p4ih<RuX<5 zFCH9<6iEk0ckKIyLEj^P+sKwCRYht(&D(T;yP;^Y=@aDpj;?Q2XHMAOhr8*=+DFzi zMVTW!eE<}eF0@Tu9=dL7FN@3byb^>0ky~(WhfXSW#|)$fED`vym<)Q7m^m_TAeUFK z6Ve;Bt_?OOg8c!n;sUy+0{6|Uxv)io*mUpFPx06&iyTdCRK#f-zZmiZw%|x{6VXa^ z>Y+bQNYma)Vf_U819~nGRKLO<izerub5q6WI)J33c(@&rIIe%UR(XO)28U_mDTz~T zCRFy*eD&5FR#^Gkc(YwvJ`v*Sy?m@~_-=9UP%wXD$+UjKwGw!$U%nbENozm8>Mxe; z5yk7_5UFukqAg28ll2bZ5!u$s@e(WPgTz)!cMEx)4&L&O2O@gN=eR1l?1&AA;;wP` zZ2>RDA2)}=jvGvcm&Qq<iCJaD1FfA9lZm^X%IstcOo0~-t)g%-aDInbDEc}%Vvv7b z{8c-kXt=cIA8nrq^(999gppyT2PHVUM$*Av{IsL}#}c2cae|RHU89reIxKJU5Gu>H zUvAw&elabBd$t41gOyMY6zIC1#@%&gasVGceyn)x5d%Cwey9hMu-bf^lQ_bb*gO|| zp;LcYSS->n;TSIErCN8BH3d7i;jYyVBxW!uFm+B&DS`?}`gJKF7U`d~;CRU1P_ycQ zHM!iRVQRVMI&Nm6ar2Cl7jdHQVW;bDDX(q*V4Yv*l<JR)GQ0>~$3n$@I`M$PCBS-@ z4doaYmnA|w41ykNkXz=0gCqe9hC~->+jQ5tvtiFtBE{R2r-^StxG@xIFAKHcnUk?D zFVMWYFtRb;X+rRhF>y;2ldX2+@B68yOcgG<?$9*Tz&(=nT$w>-q#z+#2q)vg0S)ns z*CWeU=hzF8#7~GVjR8765QIcQIG}Be(F`8IJ+dCpB%YnhSoaW}807^rmHkl}w;N@L zS#B&5S~wc&g)0QEjq}c+i(8ssiD|Gl$R#b7QH#;XN`N|~xdrD{M{&lp=Ca0k=M=cL zHyzIlGi`3&XN)&E?hJ;2uoI+p5fWO&_cVY6{U2y6Z2ZQvtP3GQtk5wP1SIcMXWV&8 zVCD`<hsaY^D&Zj+u!tGB8*hfp$}AcmGC;)sInZQ{t^@7*%#=yhvq`j-1e4&$GJk8s z{z60=$h2Z=&bGqg&QxUJWTXB7W8umR7&;#2zUeX5%omo~-yc0fRyb**3CDd~3df5* zCmrdTzJn|8<x#HWXG38K0rn)n&!T~HTzkWgUTiv3rSN%OK(FTXN_@TW_z-*0!t7v; zfsp1e;40_LE^qK0df7_@`;{UNDmOi0r0tD=!C@$RLk+0&O>Cztiwxo%7TF$h#bjVb zz_chlzgcVz<Z<dVOyEasAwv$sZfCi;Yz5!4<ZzCb@u6Pv8z1xhC)L%-Uu_avqzKc= zsoIuCqniyShP-qoT6Q-9q*|&r2w1F3T2bjUUp+(~w;|vR$zGQc4TpnVx?7-%71Ir} z$^1ZiDeb%FLbH}-c4SzZnx^5+mM^5t(WXv%9BvJ-Sxx+MkWfZQ^%?0s`1rqWe;t~X zK=_PLfO{Wcav!7I0hufCcb&@<iDNo6SA=NIj0fy^GR@wC+|DYZum)#=e^|ppek9mS zf2M=lMG1za^a-KjY0CI)(Y<c0^os;YKx=3Jo@=>&hIn0{^ynm=qn$OGYjkHy79sDZ zAx!PPUWejSeG^Wu|1+$I6a6xnXoW#eoDWQ1ioGnG?Ps}808GrCg0ICjc&9d79yQ?h z5N`X3*|~D;qV{lBoNtoi1l~VKc&AL^r*QtlXaOSk722c~0Z6#sW=#7r8@k;yAz%Z$ z#A(HUMZlL7$<ZcQdh5~3$j!rj7M3i$90aG|Bdb-p>y}=isL5`y+NxLyj`O>gd7x{I zmD{suwpU(p0E^5-FV8XO4TMZpFt=2gK23T<#Y>A0#9>28quy@s=d*pz_`$Ty242yA zJh{{qoKkZEs&2Yiyoi3FBO{4V0c65~Wp-1gxjs;$oUP?bmNAV$G%yGiY%tr73~oNY z#MS>r*`2)4y7_~?(c{GN*0TfomuVrj`s6Z1k-H)zAV-pS$Ka*cX^aKUuI&d6BhCE% zWeh1`2G>cOB;alIjR{gDM3O5r<CZF3usDg+)1MGZ%)~+Vn8B9aYiLhx`+ngoIwtq- zEUtApKF>BGCpL>`p@{8(gjd6j)fqaCB|A^6<>6I?SBL8PyGfS<jVzwy6)Q;(jE|5c z=d4U2V1A8%z$+w-%EBzeY&uYQ{%aX9xOU&UySDsUvLHhQW@N)yg973^g840LixM&g z6Lf2!9K#YcP(Yh7%bt*u@ZGP6M9P&%v<|!L>qFOUb&Mlc-hR*|TAKeGycxHMzw4I; zFNZR6;XhuQ>n^FE54>N29JvNzCSCCiJ(pbwfDXT`VZJq8wRgy?B*K^|{>qjU$xb2_ zq~LZll!%PYPoD|z{~mj_&`b|`Bdf?)K8rAsASrcvPJxW=oMlh<aY$^CK*20X>5<>= zSC~LVIv5Hy$RqhIVE5Dadfg3d76y*)=IFVg<5eZ#J&*LO?cb&Zs=oIVB&;!}1MO%r zkTd8_J2x6pkl%k9ecqsE@cd~$$CAl$)EseDs`v@Adzvg8-G1+XowaN;;_>+F$^CUj zd4K$Q3;=yH_pmpRALX0CeVPjLyx+;wFd+1G00dl|cTtB~iNo35W%%ABE}`2pBjz+R zUCrs{m>;`31PF1%3!_aMHX!EQn6Lq!Td+9?JlF^*LooBWfa~4|@PR7wx%nIP+zXnV zHv~XQ6EvPAGemC4WlutG`;eqvyZ;KDx~^UgIEn5TU+t3Y?}!#%H@1M2Ctnfgr$@bp zulTP=+T*#|9aj)}yA#;rRgeD~3+d;=<ytTM4bRbJNP<7{MFXzW>TP{rAAq9l*KpRo zPFtBO%r|V*#*{{copWrz!;H~}S{_med+o*6ZW`IwN!%&$`VJ%9U^b2O+UFXn@~G$$ zuV`fVN@<AhRK4T1@kf`}nZi0HhNb-XMC8YYYt;5vP1Ev?iIrc1*INL-|8AXaN{PVh z1-@{Dgx#Hnd9#^NiW%h_Gf?j*#ZU9H{N!P@arQ1#@h<7|hsMmzMGYqQad4ATaE>R* zu^^-E8t4fQVX3|^(%YD{w27TdmB%%F*;hN2l@#&t`&wV`i^-b)qz=uU3L^fhUg?Zb z!bow=6$<H_KC6>->}gESzwC~;BlYWH--J!$nnCL-`Ry4u_r9=E3e<ir-+ttoE#a0} zB7IS*y*Z|MA*qtg!NHRwJ!^HN`#(B7$<!pMi;Phn9`QD$EYE+C>!0EZ<r>|2k1_Z% zM@O_%dsP-sP^T567nh;cos@TO&vSgx-0bW4qb?EWy6$21!eV!5px&GP%-y?J={xzW zaq*SLK+|kwx+N|82xL(P_54i4Wq7v<GHQ(Me(E{6`r*4}u6XbWqp0z`g@ebp7J4sy zv3OulaGd7#nV;jtj1b}2X4x#sY)5unBKkvY)%NC<W@h}jva#nR7n4Fz6oP1;R;m<# z=Zk7i#M|MjP9T8ERb+`9!UwDhYiztttg$St*uFr*@25J_0?_UjFZ5L*<Gh+BM9E+t z)1=Wu-}P=aj~DSD5_4CPd1j4*&i}nPseL1_2tkjlZUs~#)BLAIrlNwu1-7hbbCNk? z?RtwiM^CFm3-o4LvuhC5TBP)J{pGmB_s{6ntCsTR^I*mO(5em#lbMB>Wz)QcutGwz zu~td9Ux-Y;4cKVCEG5{Sy7>caiCZJv|K`KFsBB4bi6#g5kWe?^A189XXq78%%kwV6 zR(?u<E00mIO(>X8t!+ukS`?G}DezScws76zt^i@%W&d%IUA&Tj_2*y|3g3V2;9#{m zf#h&p{A#V(!^Qmo{mDfDU&yd+(#o?|9bprmgbcIs8rWD|o{@dqfLD>-<B%hK(nyV> z%UwxlL6CWnu0Nb!CSS{cCQOO4mb_0SmRZf)Ejjd$CUDN1dA3`>Qg_SkyZ+NN{=}uM z5EX(Zl1wava`P67Y$j5Yc5kUO?Fpu_AcWmXp5J62<XT<2(P&%XC>Ar6@NF6|tfqJc zN<!F>2uOw!xo1g<lqVRdvDt7jIe@ou5mDc+pleb$YBRY|AR053afKaXq;6|)P8t^0 z5qw$B=Ob$@NV;9`r*p75Ta-e1vmnkL7At3Q>1v?BV~<*{kfj}BUraD@!|vr*%mKi+ z*zkp!f+~Uo8)#5WIYU{N)VP#1DT^DM^5+QhfUfky@ARpUW7l1=h_8Di$hXtEJ*x86 z-u_&P&8PjG$-P>B>!8ze;Gb)?S~Y^Lpvayse6?xa$md2`x6kI67K>*&iAyUlwzSoE z!l^xTA$h*gtH^>|d^fKq5vbw%BRwd}q+?AtO~Fg|{uP6hj{;}!yQvTW!-!)yLx)fs zsACjwn89$VjBLKB`lTqj^J5LiJzA!-a=mV1V6H%~9m|3`v`v&u(l}RIIj(G|0!N~O zcgO+u80>NgY%&9Sv<!=4j7LxP7aO}R8N2^w4>iVbVQutN-P0el6jli~{}!Q!QWYTh z0_A@MdxhLW4~COFV+fWj=kmIqtWC^%0iol?$Z;q!!vt$aXV&GrW40ZMLNc;9UL-f` zvXuR8+Iy~?@7pLXru@?=Vy;k>;IQ$CI!<I&Wsg|DC8=VR0*?}Zm6@`LP7=Rk-YD*6 z!?EG?x4mVYOL06VlE<&8L2rbMvHiG?wB8Kls4rxFA&$j?ys^o+TMC2EX4N+w1*WOS zt3;uRMi1~WaJjPMs&z(xGo#IFTWiI`h@{gnxd`@z=jN^AJHhZT^pq3gG~-vNFyX8~ zz_mZDq)~ZO40F!%ct&5JhjKLNs|M;Mh2Uc$n>hF2Z1Z{e58Ip_BPpP!4}Tx7>F#Jg zRZ*OxaOW@f$ZupDW7$3GO68KE16~;!!!&De=+C$ct$xW^1x>FzpiFQF(ND+yLI~B9 zrhtU6WG!m9LL#8*vl&q5qGLWF5G6@twcIPq{uv4F6p!@C|IuR{&q08+AHEN|?W2<@ zHw(%EZ`ooPsi6vIKK}2Q$B6g*HR^ec)5Is9Uw~e~gF9$UhFaa0O;zrL5A2ra5gyD2 zTXq;rL&#s{T2c!8n)wh3s*j@4MgvP<+F|0cyf<jyAy+EtdZ6Z5ihG@oS_iYSu`0ip zwq$6T>uZVFbatLEkzji*a%&(eD{;DGBYU96u_nYw@uplAt`9Osi~Idly-MbY+uiC2 zCvz13-ngOxj$vYhv!%?641~&@vJ(gJ1}B-Q6+87-gF_-2@%QXfk?VPGTO=zogfaSx z&XW@(6FcJxKRn`9x6XEE+?UG*iG~Hbz)YWS8P*o@FfWcT*wBPBe3M9eWgZS&G*a*l z8GtXkgBf#1Qr~?Z7|_N-+|~kj!4@C2<iZCrFk6Dp;yg|#E?$i70~#;lMycln;`kt- z^P<j6aWuxGn4xH4+>{~lJN&oL#9Ks6=uv!z#O@!3;z4mmu}M8$!djj#?I9QoJwwL3 zi;>+wh>EF^NTDf|tY}Xz{WkUQ1W8$Y4+wB7trqR(x?Hb{i-(|CeXZBSpsaJ?+VB(6 zAT13B&_7O!p*kko03-OSVC0g>{?5&K+njy9^q3Una(49|Qk1`_EmL?B-ZLqUUQe++ z<*m+*2hR1P31oiCV@`-pUdQl!(T`F6r-n22vaUPOJ;Cre<Oql@w?`Nt8P+Nf+>cjf zvEc7yvy*%wj5T@Rulo49e>7e=1$0=m(ov!~@Ymvg6Ccr^1_&h>&o8SDT`kisU{zhT zX3Yy#2%C9j9HfhIa1BuP!?j;s>R4{h!kMggysf?`slVVU3z(q9c{GMn;NYn59<e%J zJYo5?^L@&u@_@+0m2;R5k|bDIdu44XG7*ok;>e<<YvUk$1a9>=t+g<?CJ1r4p)!yn za==sT2z=)2fU#P>9yp6xkii%E84~vrnk9m_iioKOdXDUveY%j}=OAKwkErhv3D(@~ z2~rz<GTpZ9#z}bxhZ@E}+#6q@oYHO$y0$F7kM|x90i~=$!_TbH=0hILjFTb_LkP2u zT(B6sRlFG^ktIuirIAs`FzFuWa-V?a(k53Bzb*kjP|m^+{v{b5+IpsexIT+&K@j@4 z=8m*G80UCKD?sPin{&k7fhR>G@wD@rC5x4)iy7Kq7xaf@k@J%)LFj~I(WPk)GI#^J zD}NVH?SA#g0qtBm+z7m8Kx!FI+lM@pLmb{PV%O2t!r<#sy;T01yr!qV<?d1r1i<?P zt<!-Sz<u!aQ6@0YIxMeDaV_Vx{+W4F3jRAO>;Y<tQ~hZB^t#B`50b?Uix84TB3^BP zb!RN_AiS99&Z<1oiMq!!mqQDlX9C-cK(wnTzaiR0DogVn&tAyN6@s~i3)@(RUP*~< z={4!Da1dUv5In4?Fa?X2J*G@|%HK0z2Vcz?@YK*5CkS_Cds4rF;;&tv04sIMk~5hR zbNMx(ghu2|DOygG7Fzrfi%yf6&x63U-{k;VJnsu%Ghm=D(wITIi!G@{&ZddJIO)#2 z@H>g`#GGg@I>zT<FX0?B@ueXMZ9G^qGw&+%{@L{Nyf6*Ug_qw=Yh-Q03VDc=oW^Jr zP;ZdbWYn@F9=4OYtO=$W(Hu?OrEt?8@x_4g!-a?&h4AGp|Ig#`_9?eRP5QMeEeq`^ zalTCwBN9VXg(K|_9KQ|1#TIq{istcC&1Vw1(%I(TR!XyDhQOtQLe<&BxX%MuY0kH- zwl}xF6+jeg`IU=z>1h^l9yUXuj~UqjF#VHQ%j8HGZsI*OJPxq?7U3TyyKoOP8H@T4 zQy23vPC)`PqtBi{V<(5rfki|h{^eod;mF!eXN(L#1=K{E@B$O)l;q^ewh|Bk2Qu>A zULn5sRaX|yoN;x|9}O4qE;lk$3Xar-xHeJ@OyIWR&6psCe6lCE%<ViZT8Oj&mUdPp zTkf+69^~QN!`^y0?Am^7(D2;M)57xVNeOO%$V(b_`rq@x!vqCJ1-^F8jyk*JJ3K+| zxWMeNw+zgq$X3j(wOa=zJ`evD*8FFtuTSC9fTMoX<39}>UQ5|a10-fb=MVI2j+V8Z zt+@b1_?+)+H|$XN_ap?4Iz=SF6GxX9Rk%*<!gc;L`+Do-=ivYY7VDGNe<fD+D0j!; z{*r-tNrtJ~iN?MZmO0>yFv0(lP^I5(zwGPhMVisntHUkX;-F=KjF7+IbD!f5XH2jA zYlvsSb5(^A-{;KVoyo248b@`SeZRu)^Pq<O=l51`X3T$;SAFenv(K;p@sEBnD}K%u zJ1;?d1>DSNll;4G|GEj*yUy-^+=vkIxvcY?8KyD%+iiB=Hr&X3<ZKU-k{a_7lOJ(J zWFiCziyCRh+9hu?a?>wS_SvlMd+gn$mJ_Hs){K3x-d)+v7R~izd;72zxgP-DRz-T; zW+N1gzHa`DE79y+ju#aG5C4z<3g@q8z*BJRWWv|dQiI?5sUwldJ!Y8jh1J$WNPQ=4 z{*K=xPoy#AR+lf!WJlv<u}My5NTteXFx#BS=k8{|v;Qff$d+&Wy7|$nz^`_n0&kD& z_$&Jto$?yxKiLxxw#gRfD(#GVpzYkGc9V0Hs3{Mn0yok@y_zJz>Uu7TQs~DFv5~J) ztE2HxA1LqYKkXv?{|JAiZ(zoMrRmQb!{TzIt?2mbgZNtgy05Ht0x;jo((_{u%2gbE zu=>ZYYg*-&?LBsIZ-41!|AEbkU2TGY+F5Cmvp#+NnZndQ^4P=-Y|)%q{cmKq_DN=v z!Xo(T<-+tF2A>1OdR!BRSlHO#rIcipRu~1>QVr0^jnI>B{KI8p5VuN+8Q*>=SF38? z@{EhsfAo|zGfVSG9`o`$km5%_y%XPN)Cga^vR;Sk_OCI<O3bfnh5uLoZEh82SSZk< zh)B!ug$%}ydM4$?tAnVlzCza_%zAAYyZ@2vsP0HHd?y5GfcrLo=pWlV;Yzxi?zD5= z6cYh^Au!`F9OECs2n0S0!36maq%L~HbEmR{!r-qh-Mqi{^tImRLHMrXK~|P@3WDD| ziC_Z0joiO1al;i?Y_LM3xRMk@mE6pFs1){K#r>~ewEws86;Qa>-Z$2>k~_(IEwbfY z<N3v84*W~1=(`J${x;Dky<IW!hT`2%d998FL3w`oJ$>8cJrO(I8siPiaag;=B~}LE z9TGRgsJUi$s30&0?s|?J%PU?ji1Sec;)c9sE__qZ@>-ugPBM5J%4>(ZT=YNKamBBf zA<^d<t9HBmF842Jvl41QM2d^eUvFJ(TIx;@C&0nyzrD6iCGEpodYuvN$Z;R}uS&Ps z&11u`R~&Xvs{CsWyG@LJ%gFwvW|EVSsEu`7-*=zaLwxSx6UArsH)&AZbXe2Wj_<_P zFjyg*DQ8<0mpEjOUxqYGk;Z930H??7rYf~jbVak+XQ<NZqA~`5^}*j)^HI{*)m7!+ zF90+6*>|3}Cv!F{Pe`iS$!P)4c(t;;hX=QWJ5Tj^5~WF5j51y4c0I>GG%{{s(hmr? zu2U4@8N>akIaGwIxlKx+s`6&rKY}*IC)&-vA;tN(iBuL{3u=T6Iqup9J;*7>mgYSy zThqRy6TUq!t8*+++1*8q$FHbZcGpE<=KxgehRJ=lM)a*C+}@`vR<u#?E#!^dkS*kt zXgYQY>q}}Xwq)htilW-6o48t}6=FzBVEz0pGIdGKT^gGMb>dk`n|>IB?b*kF(Uhxn zlu{uRR2#I{U&qqZYBhCjl;dr5`ZlTPzDXZ&7sTG{&E7i@9iL4%iFq^ht6E$75CdLU zHuQ9{urL_dJ1=3{#NUGnIrqrO>|o}J;3K8-AqW3UO&xN@$UC)CH*WBw-ztnVw6p3p zv2zwI)}wOGZw9Kb?cQdyO;InoB~zv!^WwUW$r70xr@v57*a&a)E_-~BQxkQ#$gg14 zL&mRxGG<dry`I31p8={(?YJw?*nm5f6cg<tW#7Vxa4`cARnr8b=lOmIcJEV@{B47i z-T|cI3PtfZ5vF&d5V7bwEG<nu!mKxRoS~Y#I%8f!6xF9}6|Ye|A@|iSE*9azNq+VY zEc&=H5W@?8?3UcV%0PW<b3o_(Uj2y7NI>9T4rR8XF^dVgcA>W=?sSscAmAP*{u5#h z=>w-3+_U+NGdo`OV>Vc`LL+`44Lc(k(t`aaOHmcNo>QG%^KYS%;i7Jvk>o0lnWUX~ z2L?_Xg4PbXSYr$E5C(TrylzkgHCMQjQMH7guzVg|aQ3jcu9<JL$*jz*s7|!i!rgRV z0qcMF;U-`*0mtKN4*#Y(1(2tUwII(GI}xBDkY%zo*R4}ZHf1|&N|duUWBUjiDAbz3 zXDOXfjNQfN^hUp=zj#TY`rv2hBVF8ip*ShwMD%(Ha1+f2G^z~q2(iu@aU~)mK1%OC zc2rRKh?pcM9SxLVr{n4cZMpJou!h~J(_CG`v?@Y)C?evgo7E<(0vWBx;os_ihP3`Z zx)D+jVcQ-zctvm*^MdimoHEBETh34TXF6DnOkajJdaN3v8mvVDjcw_Xi6f@9{udf? zoeskv%#u}r$eD8BPoyL}u<=WSw_ms3c@xTqZX0gVP86$=x+axn4(E12Y7^nI+yq7# zG0)PpYX;m%O8U=`1>iC*)D)eF_j<6|m8?5bl&!GI)J#or@lPM$=vK0rA@k603<G_# zfKqrdqOn?syz+ol1G0d~oC$6l6McwP+Y4wElo}qgmz1#;)!)LyIgF3f$156`F-B7u zlv?m`yulAu8Ma2wT^;y;8{q#Md2gr;I`P*#;I0U%g(*;<W?%t1J;_K~kKis+^1PwM z?d+fVU(wt*qpLJKxRVrnk#Dzp-}#7p+DbYe=nD6|Ad@3ljt4pQ+`MC{SOC(l9cz21 zqFQgolw0`y1ke(Rq6guFhj}uZ{{Q%b)2}<Dfd+IV_lrc8Uj>D)-Sx7eIdB@s<k6<L zoVewWJVkG5k=ql{q{q{6fA3$2u%7N;X<eUkvBJm-Vu~~8QC|n!VNKfaiO4|0baMm_ zK55QpH#wn^)bfo~5b2A>iZ9(E%6I#;{R(Ez6b<gqxGL^Ml-JwvWadwjjac4$SEY+4 z0ILh7%|kS?<1-}|q9)P8U7umVwFN2Ixru2bR&TzFu^AH6y-yL92jv%kXpOwS!R?rT z&KEO@&1%D5Y?|L0FaLu~$3)$*npjmw27nQ6-x409M`@*Kjb)bRWtsMFwLd!!*L^_; zqp$7gO@|{=Jo3GD+hv?IDSNs(?npWb7>UDaHlEeOZ!m#@kE9=Q91cFnK{qO+k#$OI z4@(sJ50o?Kt#Tw1T}Gk8;h+elc_k(M4O`Zo!jTIM#1@m`H%wY$*Z9>l0k@`!fMSGx zGGS(rM@C~!h|O**CrcZni4OYI88y1iif&)6u>JmR1a4Fo%*Y2N$>gsZh8u-BFdC;V zgf|jcj7{Gb=OM?^q+mmU(x5<qg-Dn0e0ql<M6)73+SS^EH#YJ<>veuBBez0txL%8y zJWYYb<Qz3^GL8M9L5^py+Hq_@5&j2NY5l*9%;V6$Gh7W`qf>ly#(L@5OxkO#qG1*` z+M&ozOPpgEE61lZ-@7vp(&xSh&@+yg5GMJ93Dm>|COc`o7)pUzCAq-VZ!Np5&tgNx zLH(`Ayi1>soY7SxOH|65BC=%=l=n!YOEDH*!?3-eT?2dLMfPuFDP^Gy^qrMFs(Uee zNq&$>cR)R?)dcMYp{5l<pLudCGz3n*d(6r0$C11XC@Wmb&%UGHs`kVMK;JZrVvY)P za-`hVEBaBYiln|UU1q-K#yk#Apm1aEY{Io4-(NYl9BOv2VGYfNw2D*gu-K##tW!V7 zZKE$bVQUfjS>&r_(~%qx4+rk|$q83ujQ&Z_eS<w<{S+9OaFEq(Zjb)^5avJOOaidp zYQg1Wk8qo?<>T5LYIprXAO&3nB?VriloEM*rip~9(B1mw;2Y(u#9l0U{K0U8)O|2H z9M_c8SE*MSarzqk2Dw4tc&lJywdwDn$a8n3yQmRuG&4AQ?aUX3zb2Zgk=XKhvE+8D zPz4?CU+0pnNSQtp2y<Mw=9E1c38<rY^0SH_3)OaX#W67ua9J`4z=O#5wnEi4u_lK8 z9!4kTDr&y~^}{HBA^P&RoyV)krF+)gohbKBXbH+>I2*aLK&|4)zm+*Cm<Z>xDU;l~ z-rJs@bzn*gE7t#U8=G+{R>JU{e=OmV;yB9y2_ggqeMsPAwD){;yoJ-<Am>=LcrVc% z3;gC6;W}`4bZsyRz`80-&<EPO7Td#TH|$4kRPF>h2b?o-+Ejs{q3Km877_^qR0jn% zZW?pD(AH!^>4ix&f_a0@@&t9H`+Qo48kE(TzTc_R>HLF3e*FQ@SK*g!33I4Mk#Q)8 zxdFccLlCYy@rU)DS9H3b@k#&E1&5Rk{|4`*f1oA-S?m`L@XY3f|A~`i`g$i~<=@ec z=O*DT7aes=evT~gj7R7@XjA<iqo<dIJ?I{T9KKQOEO=R~s&@h3QmF)e_Z_BwmJJLC zi+H^A);vAalYnnE{Nx;uF+H}X5qhX(ywPBKG()4ah9msu^%(7UQiVl*5LHM&+JgCQ zxEnjdFWZB=|L~_yyuoAl^_hamS46laFmo5xVq9UBM%aZEQY~2-;FfSJdCk3W-t}7` z^j#1P>Pak4K=VnM>!Db1l_+v$LO5A)tON|lcOD78I9Dj#E$h2G;R1t*<`%UO%V1oW zg-3dZt~yV%v#8_fFu_`a;Bd=QJ2}7S2KvGE+6hz?U}b;<WpdM%S07T~I8obAkLlk~ zz`p)}eUV+GVc||2$%-+Jj!}+K3SscQO=f~`rR~J3SSJI#%g=T{l8yJUZn)edtvK)| zj%yH*%CkhtX>k+{>LUqU5gF@<n51vEu@N~>x!kG4lJa_fpH#8uAYMs@g6E_l$7vb~ zo+%Vp1JEtQ+~Q`5)+w|W-_aM9(EHj@42ia>9zrrqCLj#|1RtI$?7%VFg#P{1P*#Lg zMd7@$qWjsyJgYO@?W5&lU~B+7|AagVC{1(1!xe}F|CJj#mG+l#01K&i5o$ruEIf!S zfvPc7La$QYi)+WiCP;={F_H(2^LJ)tjcu<uJaBHQoXSyjPDk6?5D;t8sQ-M9Prb}| zB+HKsc%*Y8H#$P!IIU+LCch8X7}F%Q?cGXYii_^+pihws8wI&AJE0>DL9V7@Mp3kE z$N3y<DzvGXm2(@;^Vm91o4JHOD^7!P(&5}6<}Q}6K+ozLe}o$q{KtJ$g-c1Xl-8?b z1;E8QCWF&ZHP>ZXA2B)7bU}EaDzdZjLML7Ih>iZe>qD-jJFZN3axbt1NO5dQuzLcl z{9I+!dcr)NDJ{~GE_gMD4C2~4a5E|n%^}`quOQvA@ILHTbB)^E!}UFH8hks7)ME)* zC1f+sDWc^Gvm+uA|M~jDr2qvmg4A+#05bxe@6HJYLB%f&acTRWO{Ab75jD<>zra&A zK9fsQ!uT)t?)PrH3|QyWnh*}OQPqw?tLZj_pE@jfaDkfZa)DwBPZOz#R(Kdh$Po8l z5veRbt;_k%|L6Fb;Htg4#;%7Rg29-e+f?BQa%hD5l%HvSp84J1uDU~?YlVRs=i7Tr z=OiR^V;5+5LXpSQS9?~3OSF25>GfV&OEM0fAGVNznt2T+R}^!F|J91sDtHNJ%+74- zk`(Su+fI&R)RLjZ<|>s<wGa-k^5@I$%EOT@nOtn0uHW90UjJqxdVKv`>TYv?boaPV zrT2TPj2e#Yo9Xq>(ccJtAvppJuKsj0|4sYjcHKbgb&#}YFxdP0N?Snp@@lm6ep=Cf zxzTz)n3u=bk`nU5dfw{j8$S0#P{rae`OKu2zKj;nttJ_A(=VOBa=e^81=ADGOE^2V zN+`rxEI8+FDa+>UVQCVb?|ySNj+m%T>dzmqT(Ey_nR%a!e3?Hqv`KXV1QNE4^X|Ec zaBg_~`77VIirl*AZB4(Iq`mhczww^`SPdbrIr*=73a*_7gYor!_TB3=u)<EK$G<H9 z<*-cs!v(>EH2s8ibS&NZ<OlBBO>7I#6KuYpOY%B;kQ_O#l>7Xye9AqD!kmsFQtDCQ zB_yT6`K*3_D)k||p5U+mbW|oi6SnnH3T*QK+X8oM7u|e-<Gm#tva|a55(|%I%E9>P zyf99F1jdHB(Tl~we$~F+K1RN}S7y=ai1xmoK{RsW+5%1QwY~cp@Un08LOw0%@osLO za?Ml#1N3!6{QV2^rdy>sdA?{zh$j^Yh_x4ArIKuvqcD{ksR9AERw;8lEnQ%GpRZ{< z`+es>=H=?w=4{@W*P;AzNzkVKGX!aspNP)k?J<tLLhRYC=Y^_t)G_vaDTQ3{0!Z{S z!k!}q+oeg)c14&8n^2ngQL%B}mb6|-5dQ1L;}lj>&vF99jK*A{_iFzufBt^;rN&De zHZP_+fRdEJ!FvO!f4PZtpY#N`?=c_OpwW-DV82|T2~(Exqt7fig$GvT;uLd87duft zrx*VjvrJk3ou>&vR`8>;Wfd>y3^(iWI!-vmZcXG^{!Is!7X~^~zlPpxIG7P{6?3TT z|ICeHA5wH;`kL6%yEPMyzgLfcxAB^~?9_~^*lyRA`*Q*88h`L+M4WVvuXD&JtXb9! zd61qT<YTj%MZo6fnGXNu&U49neUHa=9y=~gRL}E6k*`Xf9h+}#X5+bwZ?3AhvG;NB zS7@=r2nq23mt#_Q8<}}WFQ2_3+k$!*EO;SvVsDXi^N_d7UZ5!h4(^o=-!~UAopX#m z1S7H88)$&kbHy6=MMBLh95}`pHOAV_`ba%h=6q@K9DN3EximCQGHc9l1)l6t+H79D zm+xRlv|GxI&o%BU6}J#($gG`TN{%*Ymvb;LT!r=@Qfn-aZ33Nk9Ys9_*ZGR;=E?Kk z7_u9s52&#$X$#8#%^BxSEV?0wCxynvgCuhvQ4544%}~^c!8G%~*!0PFjD>2xP8;Ze z?B|YcK)!9+0yFnAH3m7BDpC$yLP(@-ZZp9;!c$hy3_kN(L&2!SBo^c7!-JED<RX=E zqFfZUFke=X^vAW*k%udqsv7KD>Q_$5FJt5~w}Rt~D@5G$SC%BiV-k7vjWKH;Ve{*P z-N3V?LzYSt)H8=8#+nYosizMAV#%<tsER>CBe+q;sDJ`_@9>pn=^s|4d~NV*8!uaz zff_VhQ9Z?z<wUua;^fR9=doV53YJJY=8jnG>1h0M9m+yIM~n=8;Hg{t?S1{x5v#{* zkHs}U6Esfzd-T2(k_W|rum4av_O=w0I$+a`RF^)|ucHapyW=D3dZ5YE{aCvGyCb^{ zq@zvdGEA1cwU7M;^G|EFBHl&)NiuRRVN(?G!^p@Ly@)X_vex^i-FO|8Xw0a~Ru3T@ z?ttGnZHk3?I7TuMifl8QMT&zJpb<V3Fbp0tU`nl|kg($}xPa5oq|=F5S$A(M2dt1* zVNU<hKUrq4BuIskUMd~GYN6wpG3=S%kT5x7Fk+X1O5hooe8Z?UKYXrQ5^W@63~@SP z?}`P)mV4?{i+z6xWzq;1Tgh*Rg&OWtFEN@E7Y=t2L|L!Y7XAvO5@%mdjcrEd8r7OD z6`a>_+VJuJ&5U(yoNtHIScl%w01$tsc8X3w_9wIMc!@K0UrxX~|C+&*y-v&uXZm+| zik7C@D+Ali8NWI3Qrgh;!I^-Eh<59=&Ji&g>aqdyYCaGcjXt28$5xE-%lj&k3Z60* z<gh^M6pDse)tQA5nm70In=|_>`QuxSa{c$;*ox6~mXYWKxsV(si}Y%Lq=4Z{sTcAA zM4xDkAY7k$>&99_s!?|ZYw<wcbjx#v>Es-;sX1s+4$bc#EEHSJCLIYMg5BQ6GSQu4 zznd6D>VJ=1Rl{lSIg{Tz+4qFxMbgC+^QD&9?I<T)>6mOOV>t<pb&<nU5Xk!)nTMKU z6q!bcXWx|kS064PZ>P;xTmbXfq12U`!G5Vhy0(8NN=dEJ(}%H)lX}ev2w1<SR|U5> zpq>8O4K|LKKtm>I5oKlkEi)i+lI|fBm@(I}j%`n*$V0DyqRUZG7ilK`DiIFQT>oXK zq9EvttH-O{5TzTtFtsY${}X+^3@&7VWmB#c9Ew=c)MrBgGL(c<pcvqALNs4YjOdLk z^tE=(eq+ohYScq6CukDSv{e#foyb>BLt48zAyC8*(ppBNfYxBkH8`%l`<Ct_Hp;{e z6aK-QRurf_+9Cba0wTiV#2Z$)0$XLj$cwX(DkW3HPlceR_X)+(90a|xe=QX|!>0A5 zdNzR39Gc1CVIp=c900L2sQQ=C^wNw`m3X-UNWB4$%(vaP_jk2&7->t2n<pYXxm+7i z;adR@66=7~${_^hu20OWV(Ln<+B&##rxR(YTqCFjwMH42O+r+AqBH{e-%vl5<J9}) zM-DQMtK|w5dy-VeXg@O8k`L<yNiy=_aG#JRJHm=PwppFc8Spzt!r`Ol=p|VnK@79F zI0;-#=V7UgMh<rzKu^&pw$9cWq$R8sB*7yB{31&7!i;UuaR$mIu|~{wL`9Tr>b>HP z%-zxvK3ri`V#OSS{@uj#a_;VBok4%Y3i<T>udF~gsVez^qHhn=lwj>j!Pw`zmW@$m z#DF~QG6Qk-3pif_k?n&y#d%#Ie>Ny4XYC~hL9hD^3>rqxq9%p26XlM5gWC=sPhf)% z?Hza~dlxE2^FqV@p3O?XiN}hZEQYQNE4-TC0)wOhZQv8#BTiz_mu52rqPnOnF1-mO z$3*I5?QY!_eM4IH7`RtRW!#tzEp*=R6Xlmn>o$S61JtiG(2~Vn4lFAN;*hqr;oWMM z+;`e-qH0U{1LKJi+z&V1_0twpIoHSP)Z=$;r1$>=$$o$v1(87X=?)0bIQ$vaQd0^M z5*AwAXUX#XoeGi}AN->~&iKkV<-ME~geUmxK+bH8rVinD11|eK%E1hx$m)8wMrl~< zgujVM5lC5h#=^e3mPlF5)@NGTa?lPn0(&yPHtUst4YJ%-3M}a%S!0&5=o#YT4XrwH z2ds^QC7@d{;><-wONKHJ*c3v1f;%e6cpdFD%gZF1V9bz<GGJxbEsXFPV=9y2R}IBm z{a!+Eh+sWm{us9K$G+>#Q<wP9?>|FY#TnG|010shUTI@_xXGrNt;CAMSeY1U)#OXx z;5u9TYZ~OCv(cOJ2zCXtzq$01T@+Pjt4dP`xFSLHD}vJrmJ0~(ANtEI^!rDZClYns z?KabM*uD**9m9Qb4RmO2jJ$a%Vc1GCc6^f05%jf45%aNd7*myaisnYt2y!KF9kU@U z0Nc;U)?UTQxh4(WLOCJy#`O?}z9t19cKy%kwxa6-B5CI;d1UUyB+?IrWbVg8RzG2{ z=ge;;ucuQ)5UmLDylZ~i93YnC7!0p*4s~}~uO_lxu-X)t-P)i}&AU~S{20Do(69I& zZ?!i%$Sa6<(WkvQl*<WMZ?y#jCN&jc0;rGOJ6?#wmeE+}OgVs_`?{^2wl~lF|9-e> zM~B}uiSIn%=ufOI{bcnaiKAUG?FT>T6kQ>s(`ZT;yYa2TUYVeYX#OLCAHn_iaU=)P zGw=5NFHaGi^7htgCv+^V6>S@De8{h&w1cmQGi}4y%ie-7grQt$Kj*&PK_#IUUtn$N zuYaDNLyu!0kN=h7wf4>im&d;2rO^A7!u8v7y<@7d-y{Q%@b0$HcH`#jLIq0s5z2P^ z@%a&QXJ=bGra9q9=`5pV2U2Wst1hf%fNkrq5t=r6+TveIH@;d&j?%(BYt9|_!s}2< z16x5J6kRlCfB2s1^gpZSfrGS+dEjm6i_$%zKw&GmB|XApkS1%c+o8v|&-47M7qQ>R zTKhEnwd^#(Z?CLkr}dh2JK#VDcgufJ;kc>~QTVkgSitkz->B>76|(<jyIgUjJ+j-! zH52ZRkPk#b^QDel{i?jGO;@<RMmnlzfs)MAI8LsI>B`3moRWIB_Qlr?Bp?%Cs@7AV z-)?go4dT3M!2RLBWAV7q@m}(u+<Qf~j)svf8hZT62zL`r7N2mW7XAj5ZJ7F}N*H&N z5H5%O<)-I@yG2U9C)cV2rF@4<Dfpias_q8x&R7zVt`V;CJ6<<=_o-|y-XEyTksYPC zXIBBfW1^!*ZtwRM9Zc+bQ(*f2@3mns-Jj$IR$aM&^=bA){%cXoZl0}VcK!qWzHM?X zeffOGDG3SN2&n%m#hl(z?Cw%TtoN@%J~kawCTX5IHU#UA^FP{cBR{^HpP6sm^&fk$ zvlnGaE+>A=rdy0QFd~ktDhiu~5ZM?mCU-eYIw=3z|Dh}|adF_pdkX9iE0K+oM{FpF zvkA~Z4T2-IP~~uPRv|j;79vyepwl}+jMu3%D{Z>MK}}iHA8#AW>UVI?_Vs^dXMNyZ z_O==&m)!z?vLF97tUZg^&YCrFG3W@#^#?fHm4AUGr#|>EkS=I%L?fDH;B3^b6l&EK zy+gbdGayX_0~~p7%>dIIf{9Cl9Q-YNRS1*(bK;3>=`P_~Gr^pp2Ha1dvD5;T6my)l z1>FJNRSs^Wm{#O13FWkv$v6<dbei`ww80az$|=wOrq;+G!8qR_;<igIl?IU=XV0)x z@lL(o;Y{r-Q({Wt7G&4nlgz*spm`xvH#DNKRJy0|F<!u=3~+cXKmFUbNE_DRx8!bA zv%#8tev3Q5sQYUV*jobB>XFti`PgVRRF^x)>|>HNTb?YF9jd~8d{B(3Fr(%X@_bbz zFX(W*NhgR!goD@X>x)O&T<QlOTeyyrov0O>geRB+{y84X%a-~093@v*&cE{G5A`x< z)z~vW1S>yX0tyu;J`^r2T?><y{uJ1*d9}it@!Aq5<GuqZKl7Dqo2L#V0)o%GzeOjH z#fj0K(B7c<KQ+V7FhMI5pV5v>lgfzjfu2*mdW%>Be<)E(C@3BVxEwZQu<S-NNdBjP zFKtKVwZT~(sQjd)CgQDNNV_LFb#idFn?u@B`f@D(3W#eNS3XwxFywug1?`}vDV>cA z7&XbE#JJC*!@3s9bdd$bG@)N@5{n?euX~~gX^lTazH#(jhJFrsT&d_I41RkQWoB6F zAPmrT9KFt1QO~7??|(#Qps*ylNyNIv-=Z;y_an310q-BH8Hax{+2{jB9DiUb-)2CA zRQ?5Q0;2(Osm9K+)f}7YQ;tK0-^VoQLmc=|SsaNvG`4a1-A>T390N#^#5IJ=gNKun zBKhtV-Wk}dCzM{-%;cA}6zFTDn6>mbQ3)8@AVQOOy&+@R>uwUpbUqX3&BZ3~PH~Y& zOMXL0v!L}yF1D@Td{<~Ls0i0Os*mOverbpL37A~A_F?$!uHjVuSdp}FPt?+yq}<7> zo~T`@T=^<1ik@bdEuEayxACK87C7S1XAv9Z3WXm?W|ke~lG_tkk<Kp3I>~;d49RU_ zvR9(teYW6=f|;DOSejX-X2YI0GO)bWJcJcC;%@(D4JQo_$J_u_sv-QJ&`T)c_~I!K z889q2iXetlXa9X_hMS__kx{Au7z4LPOL+Z#5ZbcepsS$=QpMW)5l@lP>G-|8R#XS8 z8&5h*F$6)A&N$%WJrO~!WH5v@K3wCL5R05Kx%qyG-)S*RGEGhgOEgA?VjfK%+Fet6 zZ_0$+p|J47R4Z~$SvNu|Z%lb}H-77>1W16>JKfe%Uw`Zl<+1vM?}J*RxcU%j{VTY) zLz>0m>2-_e-G5uBd3f+gQMK%5HbtFiIplN@XjBkhPmOMYf1eXc=S_&7t;fWNyI07g zB`_#8Ldgcg-E58?lpa|InH6ebMgtRzwEdBy0DFhkM>m%GgXUNsWFcvby78cK3H&;w z{#e=;!64ABs|?GGnF|?4GPQO|l%MHaVN@<W#Y{z`j}7u&rE(1&>c6=I86(n0ipI#5 zAO!IOqD#qJF_skG+C6qs2idiW2GfrH*<{DaH&}$REaokIzfJnDhp3@kal<Ff=4fWj z9_&lFJkbapW~oP@u=Y$dX04m@0Pf<n4t|T)a=})dW{)v<7_?(5D^h7%Hk8p5zNut{ zhqsbzlm|;w-GGJiqk0VX>{e@4&PJWDffD3HG`is5{4|h6AB|2E!A4npPcH+aWIqW+ zeVO@te>B?aSX1{f878JRSR*O8!HQDisG*u(xTxucmifHR{){_Oi|2Zh2GmVK#yUn! zUb<&$Wu2PmwD<c*5vfO7i)&x)*d<3YRz>JPG_;DQt)g#d6O)4VXtyra_Mmvie(>&d z#%aDS@;GHTM8Nw0*BCQZN1u_T@Tv$J!@__!{Dxr_oiSw@UM~4W<%CFwP-q+{6F0oD zhrU`<*e;B}mgT=rbb-#9^+4q`l-N2OzPitBzCr+x4G+4+6T>W*aFXmCpASJvDvYT$ zGuApL;rF6oeEk{Nc7)s7Qw>>ILR2yzU4)DIOe~#uEoAJUZ3xsC%9Y5ZX{=muhe_sT zIqugrMbK_%IQW8OfwETeDhuR|W%d()I|X7zDM*ns%@^Q*o9`Q&5dxL_2E_TFjbo!9 zjd#q$fyXqDgtL$4*$A`$xq*#HU779hG6e(mRxMs?ItKoKS_8;&YDVG>Q7KK+{kZk3 zlJ*oIW_)~>3=gK5ix?U=U`+H<Gi75<dd3mxf!Z_cHTnxuoXk}IaD%;Uf@JaDj}AX= zabcS8drjsqGfzej@PH_;xNQsjABP<$DVpCq%XmUa9cyQ%B*OAmHUzgqY@!xRAva1- zwX7ASLNNT32Oep?<h*9^B(o>KU5uJ1rzD2t9aOdZA4u!{!PFDf>!ye@mZ4ne4<eKC zn;C0p?_x5JvJL)DokztuLXk(e(Yq*DWiDV2TE<~U%se}c0|811az9Hg(LG9{FR;20 zneQPSZ3{`r+e{a!<TJy_nB_3EW)$DPHbq00JJb-VhbOSuKm?<tQFWZu(7wYAjD$hL zg?QFJ$U}wfcwZEf+BA%6Y1w^IlmeiX4!-=>GLo^sIiY8_;f%7fRePqZ%Ycwh$Eig( z+-mPD$RXmA5Ad`(Q!Yle^tsVpI|+h&yMMsqdoQ-rd$bJ-<a~F96G7tHvwIPO8l7$V zaP4LKEzXh%l?#!L4Gr7-A+9Pcu@GDy5cG9`s-*j4{TmAzv%Ms0O5cJ?=!gjW3HKE5 zo=4-<oNDc=d<mv&B^6f)bK(G{QI)f-`a)XCTeD*?IN(&!$nnsMCCG9{j%XB+Efw9T zOri2@kT3f}2p?~2S+hUqUJi4}l|g%;6cXZN+J3{`R^V>Ak%aJPY}U)jzEH}idWtoE z=`E6P@HV`O>Ux+Mh5m5DLS^0F(B=k(%~An2!;x*98fF(wfOFEf{v%|W)jcE@us>~V zN;bUM0k%J%B}~7#F5fnLM9$B&zSlFcJ&Z1R?bNiZc0d=GId-XaNj_1^Y<-RptE1<+ z5nY`WDoh!S7X+M&^zR$2`R$%M68{N!Y!m5I5OO|#a>qsKZZ=vlRkE}+8vCp;*j%!{ zK<}^z>phy?@!zar>A0QT;q5rZZ8z}T>;{fkfwkGA`fgtT%b@&j2Le20GsA$b*pL1* zd-VShzfe5F*ovk{eUzqPX4;ap@r!afFT0+GUC#r9*MjeZw4d<4wjR{mjtM(lZtl+> zC+50HZpx1(1s8uEo*m`3!dCk8UyeYtxc!%Vou2(4U;hs6Gx|SPfqwVl;r^a_f!<DH zfd7v`tr~W9Q*g*ze0$ej`pxt8p!x5Kp0!*NK7kBHwb(FWR`%c0)0pZl#g;DYSfmKt z?eIsl&%aBeS##b@VY5p<v97oF>*}BHCLL#A>*}Y|Qd&=_gkIGzlr6PDO3{#|n09G$ z{KK|P*)b|K`qPODdqW3xT-vn1C!KR;fG@3SA32d6Y|o3%+7-<{7qu41^XE$3)T6vN z!B-{*??siuxRm}2CF7q$OdLVV%v}>}lZ!^;rINHAq<vw_O&)Y%hAt0QYv<y5Bi3~+ zN$|6LxMB5Le~x~x#_HX>VVp#c{SN?#KzP3{s4dZpJs3wOY3zF3=!8^p^dz2HO2#hI z3D$Ohi?uQ}dGh4Z?Omf(QyRPCsuTB~dUa80tz{t}?^S^tI12{p%<K9w(f_dJZ5hWp z#6Yf}-h(>k`2~(KtW%_TksjP_Qm6Y6zXdNF2j5}*UjN7OxJYt?@Q<6YSRK~Y-A}^x zh!rtl-$Z&Wk3Kp;K_=*Ck+~JKhHTi7>%@A0MSTtWR{!<la3A?S6Hd=I{<=kxJbaA_ zPfqPLpIb|6`0?V{k2hDDigj6$5%sS>X}p;uG&qS%E=eYbdPdSh&~<@~`SyVC#1Vtp zh``3nLnA#T@16)^Ncs@=ti`+i$>TX%<0W!Oj~Y9Wh>g{?bS?39LKKH`^ggk?PUsGQ z?0C=Q$su8Ma@h%w)@h9hH77=TdPpa@s^ofXIG)2@80jWvX7lQ$YtRVY=Yn`H2c6*l z>Y1>goL5{2Q13aO6DNm`o<;JPL47G9M{oz6Gdj5fIw6_dDlu~Of#4^x;tW>2yN|xj zuternMkkkCGCDeRqRQAs<5EtH3_a3+L-MW}@TC7#a_G>3pYOxib<!WZM&dbkZ7#Vt zszo{GLhax1mWU@5y*G3|lNdv=B|b`i+)l~RHt7W31N-5D9js%t#NUK+31uXao@~bs z^0R{YHWOQ>d@3y-#_a!HS5vlCkUmce;A%VW+m^!qj4;!8-Y?0vySACRLxT2yU(~p# zqIHZgZBP0(<c;6H^E|nW!m+NAY>`2H2KG4ONgdzZMxn^hSgk$ac06L{heQMx!@Dut z>RfGICdY{~VL^~8ikdTSSrk(2oHm}Be9)o;n}44@KO|opII71MOZx5#^5^JKR0fXF z4#OL_@qRHhDs<#+A7~POY2Gn^<|Ar$#CH6$&aIh2je=#*-n#gy3MKiMzWfES!dX@! zaU;R=Ydt@tIN$2A#gbLsYt1~!S;&NK4ehGQszs`5k@>i?s>{bNj$`q)SYN#>=<u9- z>&vE!v@VL%G`32K5KR|m+|m{u35~`(Na-{3PXYwcFy_mVya@;s26<6`n8cqke(KQI z3Og*kkXH~=={&6zUdW&kSMS3Oq;e|MMJZ&4Na!D~6!}v0N|$UbVj$Z@DVmtoi`Tjq zCeJ<P;oZLSlyJhXN=}$y7e~B7HeUQ}iDJ{FHB9$Hm{~|kf=g!R>x_yvwJTeP$}wfd z!@#re4qeUKsDSNAyr<QF$Vq#<C1%p?7jnN86N*$MB&x53#_8SR2uo`y?&IF9w3UUI zjriPodKS>>2V=9oj<km~NPx9<yRCCA$Xny*+TJv#Op?MRjz-7EUQP$yY-N6@sSKiL z0?uS>VtklZ^Vk`CoajkR(KcmB04JVVwTsp**b=8*R$+2Irn4A-J;2{j^7P-BS=vZr zXbY)>1~J5AjuCAn5O34-u2!`#7|#fp6hkK04lH_rv4xft3w;DeQ;!kqQh2eTbP&#K zlCyRKwwX{*V}zoEKQO?R6#_p1W5z^UQ79Rj0=C?YYDtCG9BfH8LTEpq3|Sf;k01(s zRUUo`g49d0aFEA;7?p)n(^gH2f-F3kV`CYqb;g;~q*)}n1APONi-o1MvgI`O6s&hd z9OW7wOI;?S)d%Tk=$BfQc@SO{LQWO>=gEf=)$P?EsvciHR+bMALz8e=xU8qPc({6p zp$Kvu3suI2-BC)JYrpWY<_CeKL}+b~=@|-M`a=p#y+<s6rGi(bVTJkGCKXB5Z0b)@ zOV&l?RW0%h%B=q0f+DpE=s--P;ds3wr=>fDK`Wu9q^JZ<fs{1j0Br`pprB*X@*ZJr z$4fDYU}VZ*X)wkwVY@c^3AzbhbkRTD2uoofK_=wV8Iu(j5s{(%;%0hoYM@1hGXv~G zgFss+Gs1O$#EkfJ;;*Haa@aAaI4<6hb+yot$AH$Q?F%mbk4N><ZCLj>?5cNPFYXnx zAITs>GB9!+NyIkWa;NonksO+qN7`%!5s6@!u*dkm_#E3(t!fLNFf{biA)XyWSD5vz zhp;6q2n)OW(>kl^t=5c0VMDWGOyg-~%e14#Zlz~`%rpmk_H(oYws3S`#_=-35fgL~ zmrC>|T_wh6v2F_*a%Tru85^SJMhrHY!dc?`SM;%`g}*a)Nkv16+u^$Biawcv8>5&p zwk`T;q{5w(lwx{f&y0n;EgM&DEb<-3V5L$bIW;td5y|pXU{p$KcbBlFMu6&ayly|j zTz5==uk-!!I}WJ2+oa2<aDu1%{~*N;Ha%$z54Te01nz(b7XeZj43>=!xZ^w9T<ls# zmv0H=G?B%12m9fGq@e3J-mbV=Pr500o3zbpQrF~)G-QIzd{4ZNy}|sp7PQLJ0WL>M zA@o*!qo(UgJephn8aR4J-y(z@{_6`+7(G6J;x-B@J1l5fHG<UaoEYr7z`Ycq7L<aH zL`Hh{eGaC3LBJ80##tApxj3x;M(AqZ_Oy^RJ1z;PZ|!jZ%(Z%DXGf>$D#%@lVRGIM z2kjJ#S1)*iR}=E~IXs+rue<=N=)kwVf)`^@28792Tiqe@VMSw*l{De8J#75LRy9q3 zi)rn)yMIdWM^a|ISIAo8&&FSovCZui1%x+Fs^AnBx){ZgK|)%`rSSD4c@&rY4wF;3 z%+Z&L;c@Wa>u!{JeK<c=;%w1psaFcAwYiM6<?m@rWWk(tVa@3&xHNh3+=vjZjEXdc z;?G3TBZ*#%4ADVWPf<7}io02ts=I}M0j+2{XZ;0I0k<da?i$=V)v@&&(PhtI0k%UY zJkD^94?n=9rRZ`dZU<hvie#L(3_a;h*vV_0CwC5SzhNuAG{zJOr0Jg(+1-vi{pd$r zS2;WEyFcz)w`<CdjOjAIGj7Mk=Nf2{E|+H7`PMgawcfJjBO+~E-r!mKhf`gD_Gj?o z9h8Y6P4N|8@6cJ}_Hh5D?uUkTHbWDDC+z)Rzmf?tquRUNi>xVohIB{|>aLTpCWQQY zpJ+?0w#2m2hl^LqW^621i#UXxQ}<eIF{O36mfv;GOTQeu`ZZhL@P)??UiZ3BY##lG zAAaD%!^b+E4y-N3eXy5F?7&xlxO(65_|tb^c<oPq?f3sU^Uz)GcXa*kZ~pilZ+`Vn zx83!Nf4_C~?H7D;)%&Jr>^9p<?0D$N1A8y*{PE*2zO*^@zKhSf=i_&`-#&%s9HSGu zzmBO{b8p=ZvxF{}=J4j1e*7kH@K4|Q2X|k){tX}6_ljS<@WJk<KX<-=-C@?2+FOq# z`fb<iJ@{LfcE9J^6Ayg!Pd@(VnW@aD-twE@yy@D_H~sGBCm;RsE8c$L8&=(pe$r-( z#Ex0<z~1i8?>_n$f4$+&qmT96bN7)|w`*)88+V=bJztJ*BVi{^PT?BHpZugN<vo;4 z2FrkoGQ_0c5$~zqXO13!>&5!F14xh)ley22bd9#3YCDm_^OC=?G0`hh&trCRI!*KL zu{+}x=atMWF3K`y&YqU7Wj+O+w2L-eoyp{KT?f{Gx&71#=Dmk{@?%IRYyR)vXL5l~ zKzk&Y8yQKZlF7Ccep)*2>pc#gh!N6Bf@aU3F*?~uFUMPAa?fLb3VdR8w5`3Z9eQ|f z)YnNGlO*ttrC=tpQ`R}(>+14#LhDR@og6rjOddEu>mPg_?t3JcdcJ2;q^}w}53{=4 zgg<Y)kWp-1o9<{;(@s5q8L|rd#7~|Z#n?4EO7rI<I>D-+jo<0&^2aVL^1;}Z3&yTg zipDNKkHjM*(1|~PcD2*kb;jsq%{%MWNh<%X<<gW}GB(yJy6UaMql?J+n`Q>brQ?1b z_rK=N)mX;tvna;WefUDH`*p{2$EA7X_tua+-hhqc(Z|8M#ql6#x`TG!>h(WNwv%?> zA(0u@F@|qz0<pMR)&upn2oD)$nON>ra_|e%yE!wp6M5Qyp3)oeV1nxvrV7E}_mv4_ z3;pW|zx>AJ;XeG}m1;|Nbdo&eNH>=u|6n}TYjAPu$3Bd=k}Z;%q@TWg`4kru^L(q? zapIXrFc9HtoohRO9DC5wm;{4E@tSOmOB*JSi&Nrx3}IN_1A`A2jEro+Yb}zeamydO zOdJl!j@fp9PP~NhNpt_OFE>hpF*a*1ZB^q)C!z;e@E&Xn_abyMY1ShWKV0*1RcW*E zyJPOT+y;b0Cr5L+eZ=2<Od<!Jz)e^C{Hv~@V#kjC>}RBFbdobfC+26Op!LmJ&<QYu zE6|~zATx71;}Gd5R19vKH*6pWRwg34rzep>Qus%IM=6YALWA#qw9{Px5*eMO8(bO@ z=C}N9?@O(!Bk2VFM4+FLPO$ka>BU!!Uk1IrJocb*m+AyvC9#jF`~4(*C+w#>_LGyR zNY|L^a)#){ez)K9=8uUn%EM_(T%ySSOyW|qy<lBqhxBg@!u5?}DnZf0`J!)!`!hOg zTr*974|0Bfh^LeT!Tybq^Y6;=VtL7oITx0!G<U78p)7MfSV-<XX(TQ^Pf9Jsd$F#O z0_<=-V`iI)w!0vgLHPOSk(gQkXl$%&B%9VNJ`0Q1HEP(jJ`%UnX5FLlLoN%yeJ2j5 z->5pPP?P*wA1=u?pT;Q!7dZ=ofs5oR-c0m=3Bp=!nWq%W)USwx31kjtSA_psUEGr8 zSF!hXm}iG-^^nyfYm2L<)!K5%OVLj!%gE@~*9B`mzTf&>Tbv{Hle|&UTjhLAY#e&c zZ!OdoJXf<>QUX06Y;kdPlY~ofaB@rIoACpSe?!+oQ<T)^r+Oo0{CQWwA$?KG!>mq! zS;?<fbfH<5UA=g=E;2fF2NcO$<P2l;whrPkcNZ6p6otbkld^=O2Myy@VvKwyfFcoy ztF%SayJ_|$ylaKR@<u`P>L`xZH^LymKU{+t(S_N0!f{2X<6`PX_>V+RvoUQfszcOY z6jh6J(@$%Wszt_(aa-_YhcEnJk9k3Vz@~)#QDF@W?O<Ia<snH3(^c?KiY%P%p4X{? zU1CL-?7(-p!`p6Z7EXpsJ<%ccj7WG}&`HQhS?wG#A}jsSbyEvlpg`Bd+u!}jv(wY= z9?Xo?W-?K6A(*n|X^SSYz(|RMmZqCo7WE4X5E_45aGXVyz*-_rM_Y+A!gP;+wX~T8 z6-K%4I4pYS4)mI)WE0d0b0oJhp)mAV$(WA~x_yYAB}Hi))zu{2rs(J!6*^*Kuf}h! zEi=ScOChotUgVq@7Vf77-WU#3IOzyci1Azv_ng1(A%Q905|?@!%p^_e(h#9MTzAIG zU<8zw*eZo}1WD)$|H<?eE%G9N#h7dmjL_3;qN8clUuX5a^Xp8ofVf2$W72`6=mP^| zzIZ@w%)cf`B@|-Gc&Zn3=oMYosUsnE!5{=zdXIXMgC7?WuP7gkWKFiYP{i_dZTv(_ z>-92Rxiw~?Vo8JRW^qd_g&2Oo)^w5!|KdSig$(V3hV^nL!p6EsIOv~$CWS%-w+NJz zkl30LcL}!C)Pay;)}>4}B=8qY<8LL_il%|#1m!dAxI-$_IgQz+cVQHx@hI(zdoliy zT5y{8x;iPg+^DdR+^|RtpFbhpq?Ae0q9j$|pH#!>B-kjZZ5XP<;*;&!X<F4~%R5MA z$f%9-3QQ_=#Ki7t+1Mq2&2?Dd_jjqXmKd8=4n8L_jm<C|jWKCX3%n1O(42!)949*6 zdkHs5r#x|nHH3}LrhTV|cdAo~K?Q%;ETy2kWJ{zc5JN_pV=NEWdt25ljnfx*SX-&T zNS7`HLpbBxGT0IpqfWjU#6uC7KMZjM(*z1~$w7F?k;0#YKa7HZ@DG#1y2wu&ycPpi z%0&8lB(eCJ?uT2}CIH1_HuhZdz%>o9_~we?2demAZ2CLNr}1aGw?ljWSJMUVp(#8t zj<_=gr-i!(*#(C^EV6z+GLVR=)wiWp4SNl)1_t%SM&Wc$INlU;R=B<h8>DfTlLtoP zl0iQIB2EsWpM(W}mC{Hx?Po$guAll`2cm>EC3a)b(n#2+gbOjhD^Xnh%sJm`b(^wM zAxm3aIzZP9+{?cEY{#OVuS4Tlij?Bai9O*rhAg2vuuE_|-HS=nt2A<Pt@O*_)k-PR zp~ag`29C5aszE5ert98TwGB(F%{h(FK>6zqHC}kpRmC@d&_ZbZ1&J0}Qe0`aVU&pT z{dgH;Yn{caI@+0B3MDc_k{&8e{Eg5y?cbOcMfrvK#>HOqvR1W3<F1LWA+T{5OBWQS zr-b^dCBV~+RK+Dh4qkL43WU?sI2ap#7-T_<9GzYYSFV?I-86cggV)$5e65j+=b`Je zmbI(h>&Fg%rC$F%gIK03#7B=PY3cp<Ij?#-Skif|{i0m^A-n(<cODkQimvYM!ro(f z544GAyT3})fgKV{3ym*&3FnowUP_3q8*x_#U3gu+8ajDaIR0INpJCjNUAtP<qQQ(a zM3d=`h#^~vJ6w70;M@%pp8fmy&RwEtYM+Cpr-U7U3rn?=?bLU=eRFtHw=x*J>|smn zq33jow_;uPoe$uidMa~6X8MMyuXO$&mp=K!SGilhp0N8wGR8xf=qtMTHm9Az4-CAz zqhqc2(mjt|x9h@hT=T`BT=VQd9>3}Sd;aY4!-@XYxFyr3fc~!TKkLA&-aq*3=?A`+ zJ^LSjKDD~<iEp3p{qg(VAKdlz;oh-tciGvQY<f2H`j6dp^rF}N&4-Tv;DJ9n_=&H4 z;CpX=@`a1f{`AwE`+g?Au=eccYP+0MpEJ>_+CBEc{#Os!YrVVgd2PpK@>88(Ja)|w z{^K`p`lowdeGDrZ-<u!1wz}Pa*5B4AKK0js_5-Qx*>~ItojiU1>>vNW`-2OildtUQ zrhby{egC6hzU#$puldA>j^A+n!GmA=mplH;ZBM><@a#YS`uc&Ni5+XrZFj5czP$43 zeWq3Q*(Z*fdA=#ksE6r2iD{|Wk1V%ABrv9x%mJn+Ky3Cyftd4sas>PR96dUDe8kLu zHx6Y-%xu+k+RV=%5r^OxpT`{U9_;TZ#Hmx1M?8&pZ>!ohDUKbbyi%#2?I7L@c)m_1 zNhi1yi<ojc@kM<70Y8+R9HklHm>7;V6#jf-%&?ESPFlBTCUo*;Vw$>y_L3o;jEo#O zfPRwOM>;7sW^y++#X?WQobQt(X5U4B>L<lQBRS6+86lmZZ%op<7Fr9T(77JMeOsLS ziS@U|9GY>Lj$M-&yJ#&hbaIq*;-C9L{=wKaUnjtB20oJW`^CO}Bn6!uf=)1YQBHB! z$?EoI^iZ7kdNGI@KVtlPv!9~-1b!OCqrJT=l{V`W@teAN6HMzF>AmOpZZYeBc;`9W zc8iDlVGo7&xOm9%+QrZf6u(MrGyYZfwi_sXl^BA52gMhc9WVE$FSe>ZH_w>2hxW}g zw4dTx_N~do>o0JekKu`(R{z-eGuIUCzj!P8-zoNI@SL*wonrPAu;KS@JQ-5B{mvOm zFLnj_+`Y!>NSq5@-YPPK6yK_UdN03x{BySz^_rZdE|*SIURPZudNG@ClHAAhd;XCR z2CqlxPGIurP-f)#<k88aBgd0-SN)-Hr!r(8pA5s(*~z2HIbUullbpNc{pl=i!aP}W z?V%jwV>W)HRc(Pzpo8>2lix{SCs@^v+pE7iUR*|dFE(2gsaryw;L34-eDuUse@MFo zCg%=366$0GADl?ekxoWWKn7+gGkPM>N&c!+oO4{<8^7MFmYh1(OFBvCHtl<+Czrwv z)#M~T<1v{VIsRA0WlZ+c-EHcYT<*X#v{xe;(h2RkIC|m$77tHO9;f>(=w$T7zGD<i zKHSAULps@a4Dm=)oM+5`+%3Dtx1$4?v1?P}nX9fMo%p1nlahXt?uAM)cH#Rs&<XCE zF$#ra*XW5<1|iT1g;W38cZ_2FvFpGyG<F%C#Cq)N{@<-S$NYyvtV6t1A<J-`BJ6Q0 z!TF-C$QHLJjE(h<#_ssOZ-?RHc9*1J0y&vb6w(2kLSc^?J6zv?_<i!l%(QA>2Yf|a z0}ZW1%)+L1h~y{R_gfNP%$qw;k|2eXziq;|DINK-k0V*I?>BO^9&(q4KNI*fkb{!K zeI45-jCgGaXP#JTNU3k0aNPk7=GVHpL{)06s>IEUDm27d;C|QMIxn#akmZ9H4qc$U z1v98OeFgcmu3WHxq(DGM?273CHBy+WC|fBa9zT!Jpxc1i@Y@X}s-C13Jbk4Wx=h&; z9aT2i|2?^URQ1qiYhG{q3i4~eP`#RkSTAsfb|GfIt3qM(1v#V)fu2{E32Z1R9Ix<; z6pdZdtUiG|Fk!UN2%x_#4w57>v3UL*io7^Y4)cvPmWl9x!}2nLz=BpjtGr}sNU1L` zwA7A`hZ`+199RNdnSAKzcO?3x0F3f5m4$5K&@wC!4)Q?Cp_L56OZ7VxEYP*+M|)3n z(aJ_LY7i?RXp)NAQ2@twWJ#Mjj)j?QG;;Af{MS}BsS_WnLtYAhgN_#CeKM`=A@N27 z)wL)b#>^9cMv#U(g`<*iOpkToD5mfSwV;F1aS(r)6^W@xO3!*9@5jxEvf49xKh3-s zR=??uBojNcD%k0zo`&i2!j?_pczVzhr?b_8IbA7b=3r|@knzHo1UxCpf_4mgB=9V4 zDQns!HzGnC9f^Jo<yqsHq^dHu&|8pdMh5*7Zjv>B3W6Oc({+8TTB9_b{1&pCo~As} zRVI9YC*WbI${Q^F6^aCew23PjtO<fKY9R-$i=b`<<85`sHQ1ew+(P9g@(w@3#Kz>X zCWO*(djdF;GB5{nDEf0T>l%BS=zArd&*oANk?A>fCHQdh#tLAgL08OSm%0Rk5n*dF zgVb<;&=E_sC|At=vLr18GGm}kN6Js*7(X-cX#H&hka7l>aMLgP6%8xB<RibC0_k@c z6O!)p$1wk4wHGnww6Mnf1SDgdt9%Ns4758VW;{<>M$fpylQEh>-tjaYw=~+W@WwF_ zWRS?fq45vK)nYn3EO8W>?OI9%>4ZlEl`V#U;HR_+t!a^>JVNKXozONCQ9nU`4x%AP zUQDXAG?o_02d0N0hMNYL<V+E`#E>g?=A2izs!3y{5%dsji7{=)7Ima+TH@L)LD_}E z=t)E3A4n@PCj{0p3Ok#DQjTPw#8OgQCd@cRpE;mDfLk;L@!tJg`>=yHa!y#t=Sqx! zdQc)M63Zc>KBGVc#K&~|gF*8R$|4I_Qa)1bB)8L^QmJ&m#P9LxajTEe5lgKVU9W8k zk*Qe(p!2kkTPeg-G^SEu4KQ38SI8LzO;N%hxE*4P=$&?z()S@DA|zCXm^k*8jW0*T zT4c=gooX|173JAS)NpbGGy<`d&Q+3sVjZII_2JmjXVWgs7}o3*yyKXj9T;rO;ch44 zKiDd6?XU-vE@^sV2$vTJ`OrjfXsa`Z-9&Vmd2%6$W^F|$wK2F)!d1oiC7$&VX7oWy z!m0&2DqI+Fg~yY<#`dH$q7RroNinvc3qI6h1Vz7{RtQ#qKW%QQ9Yv#;S%8OsFXT{y zm>KIITh$;*84*oV0NHbR)`QW$&kRyn4oA8&GSG)(CSniL!z8^mF$Utk@jB&xk~QJO zN3_7@1YR4jTYQ}fDcsJXP&`id)|NHKz!p-WN0_U*E8Q_$jEe~|fsV2t-6n-)zQmOb zo#~#C1Mm$a%-aq>ZRNO~qDifPog@ey=rN6s0YCEZx6}?>QY>^Moi1|3&uo5c%i4rn zEV^@b+#~*5tZ+Rd*;<T+<v6r&IgF!caa6w{p$9m!_zSuUT*lBhZ!|)ONjosIAb>#* zmnXXTn7mAMYz~{BZCO(s2U!7YKmMG4%io2eSKLW!-rDoHhlBE*JFpjjx$jnC-+=@F zOAQj@`Z8>HX3?Rb0PWvz;aRi;N{Xdf?az^GMcZbe6UR&IzRg;6K`}RZJHCZg@?TBP ze%o7x`(LS`FHoh^AGZL%m9TGEiw)^)iN{uFaLYRq_S^6-8JR!^Ms~Z&J}i@e77~LU z?Vi((bcw8`lef6IlXJa)HP~0BBM>$z;&Le6!>6fMxqbZ@yRdh!77n_=RM&Kd&Sa}A zK~LUkcMrP5_*U@mHqd82@^D6YuY802wvaogw|)fO%u}{~CG|EE=*F6E;b<|q<F~&x zE+;T0{KoCWdmLfzwUuadoQ|P*t~A~Ff1>%VF?S90iwpa%eDCIeyR~z{Uhj?<Kl$jl z&h7omUp@NC?|kTe-ru;l>=*9dZNqlQU37N0=kEUUkJk;n^U%->x5%9zd-RL%>bBpt zduaD0bt39b7j|#{^8shN_r+Hq{MnmFulTjk?)&A1JFmNM>ix-gCEVTCS^et<F7EGH z7sH$3-sf7Q5L`)r?i}b>+xpVCZ@zmvb-~`=srP*Nv2ShlroQ(2PkwiHmG@NQ7Ig$V z!F8Z}z(#la33Rfy?{%X?FTAjQ=UuP=3)0D6Unl07^1{uDi~F3z)-V42?Jr&Twzu5e zaq*20Zolr2Uh}>kw<Hp~htGQby3Mcc?=fAfRZUnX`K&&F*{X`rN<+%42m6(oJP*N( z1wE6aW^Qjdzn3od#!aC|kM7%NWXl|!ZQer^+}qyvd@eV1UfYS@M@D)sLP%%V$&<g3 z$i^>+gkoj-S~hmkd~8BPd&n6()QLae+QeZF@mdn`<wz&kxQTvlH*@VNv|!f$N}v<W z819)II*(?57(*vGhuU#T5s5=tzq~?<xVTnd*s7KkbVBpyNjI>mpP1F5B8T-TBl!6P zIyvg=<Y+G~hZAil-uOnufHTd@FPKj~zTUj|dF0s1lRc9Mh7KHn26Gpk+^~UWep4o~ z)yeY(3eCCD9fI@U*9nG}P$!gePK-b+?eqXckBBgTf6`l^YW4ua+m<48=YxSx{IP3@ z#;!?mk??i$3uKZb-Nevrx}jB#8u!;94*Ba3X+0vXKeT<j9Y02y2TD9+h(7!nBJg1E z(VN~~){OIUDP%wVgYb_-%sjS_3A3N$xWImn2zNqT`sonlPi-Mbyv!QIrxk5N2XFx# zujKWAda`N#VgG*dVY0Uh@!{+7J{SuT@m^B@8kKizGDA8T2*Z(%{J0JFU!}nR>%L$A zItDgMNB+yMpPAYTJI1Ex{#G?^-?59nhILsZsmwFI=Y8P7BUk-la&GiQI(yY0(%Lt3 z4KPK`3A1<zZZmeZ(=~@8+HtMN=$C+#uHo>1CFmO$xluTGypVhFk<y_JubqF6bbZwS zVrDj9+NuVHIyvx2sFTqXw9d-tq-d|+Ioc!>rgyRzE968^UMKBWUJ3s`tjjX@2ycAj zKGI3gXs*}#m7nLHd!(24cNFw4*yvCgoeafLCmYQ;f_64-(%Fl?Mr+(sncip4`#>sx zL;Zx-h?0#pibul&=EPVkbeo;xO+V2l=ukkLJh(&78|SOJV}3t@)&i4z{^zIS*-yON z!!|Z+hdMd%NT`$16UjO1C&@Y75f|ws=qD%;gcD&u!QfT64?`U8kja>Pt5yFkmqR~+ zmvrLa*Zt*Rl1}2-PkR2zw7h|XtxTeS-h{E8HgmIt-^v637ZbY>VToXWM&~oOk;A&h zOUZ$CjN?dQdHMB?2sFl4#tOrO{Tq?b7==5%LHhi9M!!7qQIjeT2N&D6Hek|7V@Vuv z?|PnZU%JhBg$sWMm+8ySlN4drFT!p+ZjsmcC6qzy826J>l%KxsIK`5&Bo0M?;fU9` z9qaOK@f&`=;X26BUMBlBQq>zWPEo40XW`Djx}o?g)2Q%lQ9XUdSi0>EjH!cP5h|+c z{)-FLC(N>iwqMagbqlW2LMnxqN-gMH|Fs20A_C17OlwToR3m_&{C=-meCy*>>$6<^ z9R2gCa5fcOrDazNFO^!zr`NoHVIh%de3J#^8e&Xy-7Q+FSoz)m*+g?fzF`){eo29v z4tYar(7w-wTawcp$Wg`6qkU+G;Lx_DggC^<6r=0-yI`VD<>rxi(Q+vNN{q|CMVEeI z?HB)PjKc8Ot4uDIZ~5;W{Se5(fmdRdS=j)`1a6xxp_DMfREA@As8d~kRG90EL2D2b zAt(abdyaCHxRNpv6SRAi!csj-i<~^VMwu9jje*(lmIfLTs>LKVa~Zucz|jiZY>2IZ zhg)PiKu;d9eZ*G6-j5mgE&?Uwv;fW91sP|5`&OFKV%Y+rp@DNh<*RTL>^Va3r(_Z{ zg|+IOwGob<qM;8trA7RI%wTupZ2}xYe_}Tsv^iOw;H@r&Ktbm0*JP710pdRN5i&Xm zP~^!QnRg8ZE=zV`lJ_w6F`5mIm(OW|Vo2j;B<U;2n*1x@v_IBV8~mR-2rmqcGp=|- zl3UTCV6@K<@wAvx%=j^i<SgU%)#V2l9W|aV@h5C$G_XbDWil0iBSh95MNo7Q7=*15 zOI4`nhPPLUSTGn9euuO_DbepOKfpv`Fv2J_tSNPH4U&c%LNvs13Big7XhK0Cqmdp4 z%NocEO~%#6sR^{yrVD5>JT2_OSw!H9KaGBYxh*zb{0Ax9c$xO#l&z~({+UWiiXQ<L z1q7=X$qB6}W6{BXp@WY;9e9frQRE~!-voiQB9bmkG<G??n1SzzUR+~>mED2tinORr z<;<pEEf-oXEK~@cq?opilU3VCBy|gYgf6{W>IV>|@h4q6&oRu{T2Gr0T96o+BPKOA zarBK%Z^>XhT4o26-EJ(QrEBYK77OZRCUXRt3{B&90OjI;op2&6vKftz=8MMVxUTVe z2DZD+r;q@aX(^`Zr(bByOg&Y3KvJq{2uL9<2+|&m8Qhs61WJSk#SuKKl#V~hgUmEk ziWT%iPjw1g4nx%5qOG%BS4|7?5CpUt#e^g^(-{&M(|=*(EupKk>uG`gmtd3>Tb_jd zoA}dWnl}1>p<oO(#F$QH^dg!W(IMvU6JuH^p=NOlW$mX0DE>eNNew~Zhe7r_i&QjH ziOzmZXia)jP<aY+_Z<-kMnZoO3kEt0S@CAz_nT;fjd|@Lr0GW!g;k{9#BgdHzy0k| z&<AO(7oRME1%&ud+?C9ro8VL@KcJ@kD0H48V_4&VxzG<n)GThc6_z%vrx15~0PBEV zJab}uPL;p`8QI#{<X-uv|DPLeLz|FDN{c}7(16o<LeDC!O@LDawt@ySbMSZ{0Wk!) z9b4w;*#cMVJ}ewUGG|aC9xJhM^wj`I;HEJ8!-sL^63C47DN|d|PD_!JDzy#~ufqKs z%ziq5h^^)rlS6x^(S{hTpt)6PrCmkqLD4P9n5Ch^7<>kWC$-R?>X<SyX5;Jj;W_XX zDZ|-<IM&c%Oe)q2WdfBLabFa%w(~MQ>o`eEcxh-EU&Hk<zsRUl8*%c)!fg1RhMSQ@ zO6g!PGOxc23K7Y(yRiNQ0~7AHz*>Xj9YT|TkVb%w{g@Gg`zmt<@P=^hM%19^CXv|b zCqZLKO>{BYk2hPSN&F3BD7-;Eo5BO6a7cYxdLG6*=v@j+nM)5O<6`=L)I>`N8E$!8 z%(Gih7h<sWX7OlGH$kKlvhb(yC$jicW<bJGOk*(98qpg4!6s#W8lh#4uOo#rs848r zXUjDj<tp+{@4`jQT7TqTY-n3H?aB<aZ)>*R^gAt#L(k`g_hlGYY;ilGq<bXMHk$!@ z?R`i~EobjU2pQ4^jX;<&WI277{SiNS{?TNPU}qS23$}w-!xXaB3|sVd4|hlV+Ks~9 z<DVb%)33k~``Lyo?O{&{8~c&#`&_$!W7_Tb*oD`KogLrAtT@t=#=2a*xE0Pi+Ug;d z80@`yP6=lyGoJn<Jay3Pmz%a>#%ZVMg|yQ@5!Qol2*?BzQJgV!sFYB<t*_c$)|e9A zStqS5PhD`QH=O8mrPaQ6kC1!9<T0?<Hr!9nk`gZzZC4(A^R}*Gd|CzfMxGdd>$mMA zmnxjK?HI4Ln^sylbGpyT;tp-v-R&g1GHKiDcXlR7X#4_+FQ*J`86Mt}hiOz|I@=7w zG`{4qr_c3fHV$ETo9^>pFE;MpzIxA%xZS((W2yapyF}v1QM^r{d+wN+#Gf?Ukh7A> zgxlBczUQTvF5G<Kx31m$vB$1|-Se8Ozx%T8BiE%8Yd^GBOuwLqpGy5+`h{=mr@J5i zy_=tXV)*H84`2SBe@&eKu?rqfeD%d`;_1(wZ(r2$s&g-R{cnWzu&I|}aotC4dj^`B z`A><=xAzXG|LzU{`rT{K+4t@@T>j|Z+xGp=t+&4Z?u#G0{I>N6M?ZLf&r5yVzq>h1 zzw&MCWsSDM=wzV7-TBf>4_<!ZH!nZ<=NI3${^BdXJJ5ai+xNP2Gm~!Op}!kW@BO`} zuARGM?_FP7_tO`?>F#~-;md#VulAO`pZ!uo-L_5az2N+g*KON={>6{}OIQ!16I^XR znh-P4&h3Aj$h@%EO+S5q&vXCz;JdH*m)DQJ^wLZJVe&ouA0(Z;WAodrbMAS`*>>Hk zF#XE6SAC_qHoR)+M?dlx1Eo^O9>*2EZLUm)`+}K$hjQA)CN9s7m~DmNqqRi297ei@ zOz$ZtEmD)T6JS>CF|)$416mHh8DwY`-a^S&PQ3LiP1F@EhK90#*-`Tz({Xap$%~jA z{L#NG&a+MQwt;!OXlsX!dBBM5?uJfI-Q<g51zj#@E+S#FvI<J}p6Vji9zrQ6MJlfo zyq%7jPBu1kZ3K&4?y09nfhr^Bx*k8~>x9S`Oxp<Qq_?*x(1{SQI2q`K<kBO#(Mjy% zSZpSXJq7ovF52CH=J8P}aU+-eV9$u4e&Xw-Ahq&c=ABbR&2UXB(g{v;aUkdG<o#`P zWpdjWXvx>sE5v1Q&%M)(U0Ey%%C$vxf}7`Z=2X|n$jP2$GOv^LzI}%d#ZM>B|5L8@ zHHLX4hxboAE!cPqZ`6c!h!2w=kBn_NtnZXxq4)N9J+{Sve}6BxyoTQ6ze&9EHMqgL z+{)MwTb`RTN&JJ!cT5aUz>7hxAN^4{*JFJn@*VHQK9FSNEg7w~qjCq0pFFWJ>q^G{ zdYW`SJr){+7hEv#1oqUeez>N0>vka5AIe`ZrjitYvzXdB==2W+ZyNmvbCb}|_g1Wn zgg14g&~GMx`{qms=?J&SwC)i<gn4HDBl5|wgEXmE{=t21vu&X9D3^Qo2V(9lkyCSX zHeM7SJ9c35#EE3`-ut(2&(XfVMPt{|qZERbJ)#F!2Z5cNAHh29XN<dCT})bJf&NJA z>E-h$Uy1zuOU~Tffn56Jm!V_&qCs5Dz$=?=$q4R$V{u11MET@$Cr;pgxGR^tEY<r= zGWoNg-*%g?fkG*zI>}*&bEA_$YXv#1?K0~cfA`-JMjM|)i}WRs=U;f`$m>Qzo#b+R z|Mm5+$DLPKQOcF>#F*ZkG5zGw+!K^bPN=yyu?qd<lF7C<(POWY5*wM)&2HY{Ny7A; zqJDyZedDY56YJkDyQ~+ZBgz_j9zBNoiK~Se9fdxPiBmu6{EFYE#*YUM{K`n~(Dc2O zLoRm(`pGKv6NP?qGPnEcn{FbVPz)KeOLb!U3F#zYTc`(Zxk<jszZKb=Zlb&3pr7Qh zh53kyb4Eug&$!)r_1;2ls>hfMIo`8}c0y5qYNsu*zvvo=BPo<jASXlH55><emnt~> z!`~)x?e>kWjBS~03i*D<tbZiGNr&~2V<gw{{b;@>^~yd3uf%I_#5P|voJf>8Pnv*l z`u2N|Zxa+ySYPPN7xrB=(m0%lVGZVAg8VY%A!A7#aIagMFYV{JpYWp`3Crxy2!Gpu zlVSb{EO{9Ke>7x%yb)S%hxN}cb&U#O3a$!uSWuusx=O)8UzC;Nqv83!8$?9?p?nx# z$qwaev<v4m5`=lyn6^}22B|{3)=XILj3!|Dtq&e`7e*1t=LP-8+q^gL2<0l-dBNHo z&WlBIVV*@nRh=dBVM{~1YD$i*LSQq0y*h7^@$Z0Y&aB>%($84KlD@OZ;RnpGKxs&+ zFU+JKyRkagQvzgH|7o2Gd~rqZ9<gDfF_`3_Xrdi0e4ws#CBm)n`b>8Ik7Dt%%EV!I z1*OJ3l;W@5P*C0&hRX5f@vc!JJ-n2LdLur-LK*tgmGg;KzN7Ci8gGNfMLrFGb->tD zIB21jStvpme*_!!iaa1lJWGwEeHHql(zlGPpMn&CoH1KZd*g`JCeEP`dO|==BVL;S zh>Mr$ZJ;?(yg+204Pj$0-9i~=0s%#;BGUfZ9)udpzb2_5sKeNr_&Zyn7|db9R6FS> zH)R`v*c|ZH<a&)Z3H&2DF^jQ(EEo;mzEnY$<U^kpiZF*l6qPp`F8tYg+zeA@36OA1 zG&Ex3$fGAbF}>hPV3Mt%gL84il|6!#M}!qThhwTEC=xtXnxhQ+MG-qmA~sT!8H#i* zZ9?fV?K#L5g1!=9V~!D|X)Zo>B}ox!9Q=6Wh{3_i&*5pXF&M}E^LKuK`XVJ1N2<Ux zRvcLB_Qx&^QzmN^;TsB8!6G0yL#ZG(HrD1(H`El3K7mu%K9cocGEVD^)HqwG<+R^V z?67xeF>Ow0jWgVw@e;N%^s*hZR5hLV{yA@gkux%q#%-e%>KgP1-BT$1IARgyv<VYg z5j)d!ecescL5hSwnSGmo7{U*+fF-iiKJ|M`Cb>(WIUW6u<M`>ZBEoE!i7Bf--5^`( zXF^A)WX_%u(61)d4hfrHN7KB0N1~Gq4M~N)o85bZlyR{({f`D(Vo@6Zx=Xqc@YAgj zZd2)K;&9OBAL#)iMMeu(l6M+6(n2UCHTh9ciP1_^zS#L(NZ90m6LvpTf@$~~UoC`p z79SI#Ork}YpcQ*a{8j^1H^V%b;ITo89i$F?6(uG5hmlviV=`2}1vlhmA2j3n+h`Sv zbF&_9*~p{|(xj>^*h3eXbZ^wHcQ_U;&m;IYiW0M43O}6S$6i|r<v3J6E#ixfF%QIb zwT4!R;$*dz5c>sx3~&!o|G={yK8u2Hui%tMT#>=VAu!7;DTtX#V|Q60^kudlq8#!D z(!<ErrCjz?stHJKRmgr=q_$9jSYL`@2cMAW!c?NznYCBf+az%JM4vRt+<wtE<qp$; zwQCE3BKjC=EJykR@cJu&eR1dy|9yiees5Tu>v&r+=oKk{3?C;j0yH?`ZHn!=Rtjq< z%p?ehbcYEn=uIRf{kJlfk&n+I@!#uhiv$9RME)czoV%D(4bYNQqPytUT48Oe&~O;z zLOxIgCCwYG<Wgd*t*wb+dfq@cC^EyYzhE0<6{*X~V1z=(u4tz*%9U;B3$aD$VN(+` z!WAW6>CQ%fz6XiBAL<PWy1l|F`@nX(A3~6gP01+4+;){RE`!N)61F{_TI0*_DXec- zN<%!G1$&H#u2AsNxOLwgZp1WJWF_o2=*M==a7b60n3;jwn`saYRiqYkx;nxvV3Y9T z+%E1Cfe@@BV~IVuQIlIKh_2jZH2%)kqQla<S7_;fiT<p#O(?}8R~YJ;I9PZKE$0<O zaVy@eeZ1Z#Bwev}0APp^`h~N*-}y~^cjJ>|LR{O|q1U3D+@gm4@2pYYfQmETb7(fP zPnAM3_NDjSxefONscyXAL09ubh4?kGzr(c?p8M>5SQ2?uZWlYdhW8|}H=vUxO;5Pq zaGPj<7h<jJ;3W7}^v^J9OzeSI)ZGxv#z#^-Cz(j>xpFw&)^+r?cxdQ%`n&sVHN1D+ z!D}phiY|{Ikx3euFuc)@nR&A+v3-1S<Ax6miz6!K5M&`V{q<vj>UXkPd;(IQ!Zyt_ zDJ`b&+b?!tgK`XI{q~W)-f&;4BQYRtH|?N*Ab%`H+IX1Wy59>Y&$4eBINx)2Boen= zJ)B;3@Ue>zc3d!U&t&(&b$c&-`s%HTBe(3rnz@kA4jVc_Kj}}{_>N7Xt33YZgWny! z?$A%(e%IXxcU`z=9m#9_{m=eq51;?B?mvCthcEQYpFep1_OHHp=kU`HUf=zNuRdUZ zf9-SPJ&8{qy!wKx_iR3A^DTQ5S6+f~FJ?Ni>V9V2(U~BfbYPdnJ<!Rz>qsYwfxEBn zUVm^mbkYx<q=wV^d+Z%{f^^am=!DQ4v+uhvJ$Lcu4bQx1^IZp1udvN}M2(n#`1Z-e z!vl9c{NN9NxN*zRkDb4L=HQ*@KmFi;jor7T9_U_^IR856WX;RhUD9#R=0DoomA)GN zk90z}7cnv2Z)>(8t9odZpFD~cbh56z6mFQc`9@1Nn(hG2IK{7Rnj&ByD$HE9bg<Wk z5NjwlhGx^b%{I#4l?jUx>K+BZLzBK~Q@?by4rUgxxxyd@w($>%cC3md{hRrJ_RvXS zM^mqK|2a*3Q?0n|jpzi^vPmc6WT{Si1om{=v<bSP1r5i@&gHJUN*sW@$3w62b&^P9 zQg<wk)$lh9LLB~!c{6rB8-~?xCwtx8T((4hQj7#+7xla>#;#z8RFd*~3u9MFsu+3B z`n&oY6Rum#+h$S_=K9d<mT}mB!K)NM=fFQN++UAqJ5hO2SpRr-unyALodWA1u@|6U zju^i_SVsvxm6TV1dzM>@gl?clh5;Vm16v!N;ED}<$PUB9d;|Zy@av6ye}7aS;Rq7p z`o|(WlcfC}{c?nTb7pF1P)5vj(%-;$xO>xBlk>Oq<%W#A`dIoZBIB=r&A~HuFvdSu z80&k?bR{V!afL22xtt8Y{*cwUsuWDmNxIECc`|qMI97BWKR$BOTzK+j2=RI3-i4n8 zPMu05a_De{v~h4*ud2TlwAUr=9d{J^Y(FN%jvcf-j`E><u%mQ`E1oN4JJL(~d0Bfq z_WLa|Z+S~r)7q_U7S{}aaWqqiDz6jVML>l9j+?t->Kvy|rBVownNIAw_jPbJHn>JV z*>3vDs$=wdE+HN{KrbUR^zO+ON3}j$=qD5{=0Cd_Egrq;B;?Ug3cHkIAA0nYT<+va zk?$vF*`2QtWQEa7BrbR0pTr%RIT=h5u{nIU{+5LOWa!nHZ;XC_@|fu-N_^+I=_k+$ z^_GZvS;Q}{{vM#_ZaSHLz<mHZ{X`gFNhdyg95tS6{2vN}eiEi!F}AK=)4}_z$8+o= z5U4)Zy1nMz-y)RDS(XsMNE@0WXBbp!LnS2R|HN7v)v!;^Pig8=S{W+RFE%3I^7_oL zPK!f|e}bT_qy9R7i}Q4y30QoQ3D{!8;YBW@eD+N}<y9Y!%NMw)p?EJ^Vxs<%$OS!m z^PLOIn89sfsk$VZ?A>*hwc>;~y=m#Id?qTSXkaLEmS^AfWAoN3oL^m5Xu(V(sdU5- zFR}<%aAD@98GO3%>d+MH>C%fo73bGSm1LBc(tfaigOCq@Es<T(p8CJHQfqT7!wO>@ zyt8y<gM+WE_e`m^-pfF4V#({EFlSp~&Rke<{g>=MEyI^JaKz4RTKdyX2M|MBG}u#O zr;D&b8?FgmP1wF(Yt%0KhfxAn_k>1<jtX``vPfW|L`9-2%(=RO-%nc8#C-3dPaV{0 z6vjJ$$g6*U;H$H!(;)8cSgb;$CwJ&i;95fe62nxoE75P{0(A(J7K=%fyf`F2ZoF?_ zYGd`P=87!&ZLGPhmSgJ9pSPe^{&`&PY%Z61HdyqdvC9t`Nl!0jjV=j|O8L()(a@44 z>|p{-fC(@GCcp%k025#WOn?b60Vco%m;e)C0!-k4bQAFYZLw;QP{_IHrxD{X>}RsZ zruZTW^D?nW-o#cpg!xqwS)oyDE?BIJ-!DsZKF{|D`=p3VE#E&LN^J`rHQLCJ)cDOJ z@o@4*QP4_s_R<bwEj1w+TXe|cj6)Coh!vPnH1HN8LV+c=o2@`JebgUqPKEfMmq!Jc z&>zu%NxdakXi~k|EIawGrx&YAQQ#^pC>jxlpME>Inl=0zfAne?^+#J+A%5M~sNl-+ zS7_48X+VXV_T5q;VhMxI9alr;>C#k<XH=4pEb%S9XF#{Q%mSE%6halU$6OV7l=MG! ziUERV1QnYauMes?O&r~Qw7>C^8HJLdGlj{2S>rWopjzE*DRi~?6&mN=w}cfZHP98_ zqC<lw-wjoR87%)~eH|^YqehPOSH)-}V2S7_Y^x-x5Tz=FujJs~hZnQ<x;XP-u(Fk} zigxoE$6|qc-4Y4tFK(vmtVL;&u9B+?1~7b>%QsDoWL1h;xluj;YboolQ5e1#JdH1Z z)ZYuI#9#eJ*Pmo%rPvg?A8l>z{p&`M=d`(qXn*-QEI1mto)mQ|iTkcB<r6d0{o5^X zkgk{`J$O5~aUvTZI@M$~Y!p%|{EMI2ba-*wr1RP1qiS@I=j@!uZYU{xy%=_|9?44Q z1sc%NqIU8^#g^FB^W~)~PziA(m1Sdpr2=iiB&YEaXn((fhN_UDTZI_zAb@W@ZD<Hc zO^K8$7EAgbEXk(N4dV0at~WU4nr%&r#cFnI=)}rDLDrmX1qiP0T(n9m<V=5|ac{(l zE!r}(nK9a!VXM@*^U5?n{GhA3C11b~3tH)RLwlSx)ab64Z};ro>(M{34jY<(fPUx} z%x)+%lZbW{pdD}GW>zoV_q#BZIm6~T(Q!M)Rzq^Q37+0g+`u*7&6+5Ak&~`|{~{NV z&wi_VYJFn2WvR(Mm)rJC--QX`?Y43M)u{1yLLqU~Nq44EBnzg~8jp-RFE%l{T{*+u zV)V#x)=9m#OC+Uq`X}=7^*XSB2^R*g&KQney^HtR(TGld`_N0bb>DVQ`=Q*y=Q^%< z?BWYwd%@1V7hn3?dUX-XC%o5gY#TZ|hC1=i3ES9mJED_=hkkio_ia6A9s1sTp6lNG z*yf8LyWw4X2d=oTP_8aGYj92Uzjkob#yIL^ldPfnEfJv)0r#9-lRR*L0NL&P&UaF< z@O|KYjb`qDSQuX+j-6S$Z>kZ)lP8nV2_E#aB1tIeJKT*TZJVUMIG%sL(e%qV-KOrP zs@s84$LM6le4#s;gf>n#qm$9e9CXsSPM50P^_R1H$>vBL7^Sf*nS3YdB&Q$B<?Nr) zx6SLXv!B9G8OMIov!+phCJUuYVMk_J^p-H(w*=35_`aPnk%tTHBwUBtlCkl-wX5n# zvO+}d&o5R*@umOuj{gIeDFPdlk}j}=v%g=8@b@_>E*=+}+KuH-;hH2PdaXVE@|E1A zm$Yujg-9K6oSevz>*t3KHC{Shi;m|`J>Pf^%QfYuT}xH7T*nB1^dK@oiSC0<OrV{{ zuO>o_^o;bxQzxt6w^TYY<v<gphn#rsxYVgbk3Zh{X&&ExBJNr$olJIoK8v!@PpCxd zGO3@(v7aOqnpXXdkA_4QbM5a|iCmN@|3XgN!N$|vFBfcA_4^Wc<Jf{Zv{+!9pI@fM z*)DQ+YJvRe5?P~vAyNMu;w1@`@c#*K)&D4osN%_|DJNs9R9n5eoG$zb-&LD%xw4i% z%<t2Mo?UR2*2RQ7WJIborkt-*E@I8)<;1InA*+hHRZBNNu0`3*&v-?L*vffmv3{$g ztpbAW0_HQS>*;WQ^5#S8>Zbk`yKvnuxJoPHhhugp_6{w7jVb4=l#A}y<;1InA*)Kc zRZCVHXSzu9*_39wJbchL#;#_}x_g^Ry>ipm+fnrbO`V@##WRjX{qOLW<cS~3%|9VJ zKZN&AC2^HJg*0U(OqELORZ-p*bQ+Zkm&tM^2PssZ?uqE=|G}!+w2#y*+9E;OqI_0D zHWm9y)yl7bd!cIdB1B4i5i(saS+=BYt8QK{&Ea~41iUq&YsqZ41@~=BR@piWD(WX# zkA}qIUp@Koe!un5s#P}BM1$9p+iNYSRdTWw&o`~A%(BeKtNxC1G3s3A-h45NS;2XB zEp^=+*s5u%tJt)?bzEHQk~W$U2oNkla1R#TEw~1Ecelpfn}z_vgS)%CI|&e68@J$2 zf`-O!lfBQLb7uCL@6O!&{n@`>>s?j#mONGUu39#pi(OIdsiOy!kBpO3?jsTl>6I=( zVty5-5@C?4rAbm3WrdYVP$XTx%C9--h#52#YFj=shRU$ZwuJsk#Ub!*n#`KR!TeQR zaA^A2N5t~nsD??oM!OaGv=RTf4+xf<*U>S>_#I``)^BV|aP@`j8`!^?#iy9filijb zqRd)z%tEJvJ3>!&b|O7)S{2@1TDATJ&Q^5h|E!NJI8va1{QRDv7FnSGP?9<qI$u9i zk?)6LkwMR)z!?2U0Ns!x)nwzI@Hm|1pYT}hS2or&DPMD4IjF*02ICS*Z&%py*_zP& ztQ44iZxtc!SXv-xQKv-{4(LAdgiX8)B6V?^Zr64YLI=rd;CLo}rD@5c2X?0nRKh)T zWQT_4;aLA`)ebQ9g9A*>2cw(pgE*sv6(ui~Zi)p*D3Gg6L!3AkUzmso{87fb9MTw# zAQy#6J3-Ksv1e+LPRmGAqVa`R$0{+8cQz~zn8&rxi!}sdp;OYxTNnba+0`75m*3V0 z)!Bpz-Ov3J8K7-WEd`3CW57$MrUSj-%1bsg$Qn8#cxW)ncZO$`4dLXRS8lIc`ia@w zZ!{&nL}WME&o%@b!eO&$$t*TnTcQJ+i?zypPTrq}g>0r%-j3-VdX~&u4D$5HK0`66 zElIS6WE947#Wqu#*pb6I<NqB50saL@4k$k>N>0v1BykayG|47bLF=(v{GGw`l#gS2 zD6ZBZ$v)4z(y@^jHzI!Zu2Lz-G6y4#lIfk7YIhw>Rfqk#^Jnq~`d|o8RN`EVPer1N z<^IR9`1zn?1?5PMiRs89{ue5YefEN-y8sK)g?{MxZSr29Tn(Vh8Cp;b%6!B9m?o|~ z4r4Eg&7o9{LnfV6Kz{|51mmM~3SCK0!)(I_X&zvd>@(69(#_;<j1DB!l;I9|6V{-Y z=C1Cf_zDNe!3c04M7Xo~@`SVAQLVr6PIayFR;%N2fMCFQ9$R7_k;qVBUz9I(WFsIk zgAyc3*LZ&{0t>$GVHntEx<=1Y4JgYRZevcMa2c&!<I4{r(qUG+Y&XN1v^!(JF#<^- z>`7qUSk11id1%Y?WC{H+`IJhc;{C1F9n3f!`-Jg8*y!2rjdCf|)i{PKmwL}bUF%$R zuf0ciy7zZ6C*&>@)CP8TzmY{^`m^o{%5HjkjB{oJ6IBu^g``t3T5WXYK>9Y<!6hX( z^k4tPiiRl|mOT&DS^9-?IyK<jdj&=ZW{YN{x`XH^`cnP{PYT(>rZ0XC%ZI*0AK$EQ zvG!1Gnlm3jM~kTfyiwC^;NnabIEda+CG*=9Rq9c{u~o|X76wNTY`kj^IMgDa-lE>y zP0*vvKFul<%d}FObPc_1>{`9R+TvPPweM*d(s2dK=7?a?VQW_T^hIFP<@UU)Ow}oW z)kkk&yQng5ImhjvVmT#J1E--He9wVt`D~*VSkB{)T`o`BEItV?u>N%U(E5@LTPs<p zgDX<aNw=Xun&JZs5R@R>U3tq{=LE2?B<y2}e61KsK`T{va)QC+oh)>R_@l$&&UJ*r z^e;XAClvh}3FhJS5#<54^CPU6QX*w3^y}3YYgP0{uGkI5Me2eL+6WLGLh`l_@UL`x z4LSivU037Sx>9#$%*LGcSE8vkX1zdwJ=J|mTH`sdnycGR0FN)j+y+;=k06gPcs&*> zU`;Jp>_rvoU$J_lk);~?pDsg1pu;wZebMJiRX}&&0wjCX;$NgE^vV>77>Mt$0ujWm z8)DS@maRv>BmtB-FR}1ttQ3Ad8ZR`TMV^s}Aw}oGX?Wf&iqP#$T`Ah)y#eNbhF<+j zxuID*UXDSW;oWp>>l=%yucXyi1W4-F$e5azB&3j!ME7Oj89FAhjdGFH#lns^TYw6z zVHVJP#QF=0yf#hNhe_^3f%3M>6N>cT$;Jf5bFirwnFlIYu-~J>G<`UmV&8RNA38^K z#|i#N&3tp1QuD!~fWuXwK2Ma%KZ*2jvy^|EzgQ50B(PCAW6g=@MW}KBaw~v<9kW&^ zm?Nq(?LS;$-8@R)A>Pt~Ea7a<m}!nj+~Ai*&J;OK0uyMFM}?;lND-*+u{c%n%`9lE z<_F>G5TqbkdOz=bj$r-aSLd-fSE_f|_SGZI;Z$)=+-b~hR7cnxmKoN$sE*Q$-{xl| zQ$0ssrF^VpJHQu=hKK*1W{p|GoL8RIA`-3^rAm%S(P4bXGQp10ylx3H(=--_Ifo1e z(3B#SORI-&y`uvD&lvtkDu*5FpTe^;)gm;iej(SymG(tiq04rG!|-^=Ort=&Qqkp6 zT<6!LbeI}t=L9Ar_nX}HSDX0Nbfz0TfheZ^QqqWG8t&rv1ye{s2wz9GBq3~ReeBrm zD~qFjs}}A1{b`jS7)i7^4SY!6LpW(vr?|6(jAIciC>WN?NCgF%p3cp?r(Kd?fLj>Z zGBj|VBKGf^hhEKMYtqDl-DeXs3;uRP(?em|@#TQSeI8CNGh6jw(Hv8V4v;h0wbn+p z2kSr5RBag{!1AljXq11>R=aaCBoW6GqxN)WL=J}emTPi5Ras)MK02^)aj^Crx^Pa2 zy3YS=mJ3Qmx7>PQO{F@hDsc)DgVVVDc<86jFECp(X%So8wc0<I))@f2;|XuHpsFaW z7^iRa@ok`qiDFy}g~)tNyG$no$fotZ;n&Oc6MXd2m{9wCs$cJCthQ`ii;?|Gt(-#N z{o$N73>)`yT&9}+d7^%*le{-gWCZ6VyAn_?l9qzDxs+P>(&y46%Ir_?p$L8NH%(F+ z{I>PTRNcHHiAVxisfYsGoHg?-RQzv+_OC4c*DSspPpF%&E7lm4Xo2%-*OE$6mbCTJ z*l`)=L>q^2)`NI1Rj*!M-hzE=1e(1beZcONRZ-(!*Z5osTc7)N9Y71DEO`_43anft zJs94r6ECL}#o#>K>QiI}hix(QaAUldau`LQM#r!BHYQxoN_52phju>qedD{dK8de4 zTylmF58)gZ3mfQVlSdF%j=5yGe+>%%5+VNX2k^gP5R@QBTAQsXe)sm^l-4$#_EP<a zI9my+`iCEjOklG1DRCJM1Mpg^ba-;^P4L4+WnI3j#av3ZX8Q*1pmEO7$;&dr(dsK3 ze7$^3hMIu2ve)|d4mt`QG-HN0((fa$vL;9tU4d_P&JSIwEOR^p)uLvzuWYQ7A`-vf z0#0MLr{{33xpc<yhj|!`W6rfd(4mJ$ON_@wzrqEQ#Vu|Pn=50?{|tHx6Hkm?qFdhQ zeURI35>$%AMPhl{sUgfyQF<~i(TkjVo$m>U{;vMw5B#^KnSXJ5*_W`YH}rYVdl7Gr zci-(W*wSYSTUjm~NsHs3@!+t>M_^)MeyYR@gM^y_9K2g!=4ggbWLnn!(h(^1-90NA z4y%xIA$EkmVEhHDYlsnj1QglBMS!2rz`%{8GuOu1?TjNs7#7OxRJ*y>wzRPTHba=A zNPla`!GyD=bX!N^bRtYm5t!1#TI;yd#Dq)L2F)lPS(s9hofBuQx7^A9@0j=(j-Wq4 zlvIYm5=zGEeD12YuWhyblW@UGK+y_-6qRcN-;cr8uUgIcAg?(V_0MY;sktJl%l0+z zs5i?MO;zbnt5jAqsY#$)d$}v4y^5;O>yCe^Vzjae(ZQ*b#|kUgG|V_t&p5*xw$%~~ zr>&Q7D3@RvwcLmA2BENs4~}Yohn=Y{1>OSthD+b;;!#!8BbMJPk%N^RC`zS@6C*Tt zWGSlK<+D1A2quN)Y>TCeW2Sii#UuTlHvc!u{9jG?_X5EGER=t9-T$r2fd9E7_<!p` z|K>Ts|CQJK|3~&utOEZoDfv6B_rHtN{|D}5gn->N8o?x*oTcd=N}6DHHS%y)tL~PA zI1~zPBdH_&10A(OYg3ezIU3dpEejY7dnF>MlYW7+PC*CqZ-}5>xUzYXV5RgzJAO0( z#j-WMkWI5Xj1uNpkoIS#O|(uW#LsY{*l9!DNNrj*l71-v`EKi)Jt;c1(UY;$)dPM2 zssFHF5Fe=SYA2)Ny=p}Oy+(!*<>wP;@U-L66y~~L?Zrro*ncA@2P*U*+Gn?q%PAji zCYM^jujwd#v=OIDhyOExgA#<Zk92?4g0`1bLM(5Pt&#MaIyqZ3CBeK_Kt5WM%Miuf zC%`4zf`8oERi)&B{uRI6sh)~qh$@xgHtC~K$GGuR5?t=W&UX>w_D&<u_x-ID)L%E2 zi!X@PnLWn7e#eW`X=8YEFhiy-5V$C!VOuO0Re%i=3cBUKh7VW7witZ!zM7^m0Q-EH z8RPiccPWe#;tD#MK&%tImybW|!Jm*Sg|g2n!xXWMd49RPp8r)NPN&A8J(kY$&oJbF zqIlUsuxHbY=TW&M!$DZ$N!)pKeYp=9--Gza9`!W~RddT`2;dEXws%XkoE)Wr#a+g| zXXwYL3?ySYf1tc-K15Yb>vfGr>#dE(0oFqW>?Y)~jQ^dh{X4Is2?Ntm0R)xl#+XlQ zjI~rIN8P)IGTDFMd*53OYyo$Q+%G<KQPFCXDF_Y>$*`uqtKlx;uliMc=+VBxPS<<v zYcH<KmYGmq9sYftN!`Y|!c#8nrPzIioppVl#63!4(gX@szG?W%4pphTWGT{EkgnN4 zXAnk%fZzJ$?Cu~B>l0DH<nFf^nuT*;P#ZqkR&t$sZR6A&q+eRSov;xMEsTZ`*vyu; zyu_T1g?@%sl|rS;M@iZ3_l^Gt%YurKUCJ<J1ch-f?21WT6i{pvzLO{}Bard1J(JI| zX74W+jz5uW1El37O{bx!lct~kRAZ)Hg{@9EBiBH$bD`qZ@YXtN$w6y0mjOpto=|(0 zd#sxNxgIAwf-aSF;INwGG<b5tOn1RjZ2{PiIUysKy%|PH`zag>WY;4|vlELGUL-Lo z3F{*NxB3J82crLX{8alOiX}alxF4?HNwX_fuEClLre$~+S?XS+uPShW<{TXy@-TtA zo$D6lVQ&#*2$%9Uv^5>+*dK$zj_l@D(1*Gb(nhBM%+m_xxn>5mf7~`H{zVjM8RDb_ zY!mUxZO#&#rmyW1NjP9=5MP+lIocRZvN@_%JXBwNhkm@P^tfSUOS`K!&Y5sGBHb?b z{`eP|4T-KP@ktjQ`q9@92l0x|s(UPgqO^~KP7(lvfoA`Xo&W4cf&bN_)<>wSjc4yi zt1LIZv+1}ld;P=ps3Fo%wtg&iEv9ku6TiVXz~sg@{$IK31jLanFCDE$UD-O|{_wUI zL%E3O$}||GqPn@@A=9tEy%<R5gSEv=jV(QI=@SG)Fly)5I*Y>$ge=`D>)<Kpw9751 zV>_juYaiGjOALoY2)<6<Qln7mGHZp?YPJx{jHpNHqO)MVMiFRxYi*!INf!avK&daF zY|Er0;QBrFpJ2lOm-xRS-wm)dljA(mZ1%08QZ$-0PT*{-s=)AkDbB&JOOKItb&f-0 zGL{IngzR3{Mk;4p`kTchuEa{p5_O2z*F>Rp*+gKb(0w>Pyg}1N!xqN>5WD{q8~+(K zfY|(n?q%>$;$0QepWF0O&9+&xKpnlt5LZ0Xu_B|j#wWpB4yB&AKp?x^i~)uASj%S* zc7X$?B#V^lmvIS$(3w4gpuM*P#ez1%e>i=d2D-2ItsJzPThWD~kZ`eK9vqD5{tx%t zXY`or-nEOA!*A+bgzv5Qhu&{WmjY?>gzFEO#<`W34gaA&e`{%fk3a4nk2;W&DF)S& z2`=|CQ&(5_)Aut6PR7XHH4`Vl7*EVglG1O}S6uEH;qt%yD#Ht?{`}m8f%Fu=I)0kB z&6+{iih@E*q7j#QIRU4V-h{XpW9n1L<iJWe@k>0xXNb=CzH?|b5*WQciKLLsZzIK( z5vAoL<wNB|#SbQhbQ@yn&KqAz5>nK(@KTb<tl{5qWV6CxGHMe}KThfluus80M-YRR z@9b<Ly*k*Ke6ci|f%zekgcD~yGt#D4Jlw?NxXo6UTrMfcAD$lwT12c5SZu?c2Dux` z<^kluObmny*@X|NKqsFj@6v{&US^%O#PfBt{YUA{@A=1CMDhn!AU7}*eAr9R;cx=( zSz|I31uwaXupn}6Gn`wqy(~yfFMp!y$X<1R63f9CBILOU7LrNT+0OEZPmCYbJm)@u z-)nQ79_PQc$zXyl`G#(n^gIAwgn^cvxqWZAdCZOlUu`#|PR;u(32Z5u;xub>XaKK| z`P({vQ})}1_f9rw-_44j_+|#OgKEd=Xvz%Gx79Uc^2A+C3}|hJ%}3liV&v>Dj`;HK zzrJYP@V8l-Kl48*HqLBau^Z0wi7HN;u=z+`nyS#<Ne@mOML6;zPg~x@8cA9ekeIx( z8a9!2Rr!4_&xzdmNArH4C{Ky40Rf(YWb+l*h26Y(aFrz=;U*mnOejH;Q6Rh!QFZoj zdA~5pF?QZHuM&4NY-=GO(>$45_76o)a`b^0*2-Rh9THHeDfe5LRM=bN)oUcbxP?9H z^KD$#7<p&9NAeJkd|y(=_C9@)36+%D8>VbCbDUd^+uM*MmtRb0Y%?hbIK0g#8nQV2 zdljuWagfK@TB01Zq!>SVCQ3s`L{kH)v=wEX$gzc1zD*pMY4mT+va0FkO|o+)jT}o- zoCMmx<qX75@BTPWvaeEee7@Cbq+ES@e1+WN`aTb2U2s$o(P)Faa4EsrGD(+A@-SfX z#x|>wuV0mGyJYFOF@1BDUBS|PWH95cA(L6X85?ff>NhGbFSzpp$8o_L-$f^R63+v- zHiq41u2IZXJm<3%jO2?Oaw^Fs0R>Jss-{ahUwH(-&%0S$uXFK|di>$VQH4-J^76NQ zX;q_j&XC|Fr9gOL$@z2Gqh0EqK~AXZ*<~r(g<#?vs}q1We~YAwkJFLX2bU|X`=EK3 zO&*ITS}y(7nm$KkR#r0gQo4<Ao3BWU$=%0w=D?@b82Pbdg{~6n7Z_YYd+8O!Y<|>~ z)jw0D=mD+Yx=i1xbq3Z|<&WCY;Mo~n=5f6$Lwz?UFa{dC?K2mfL<@mQ%JGI52KobF zj|yZwYxxDB1XW21oDHTDG6m>(lO;2xu`K>6u4@6lKh-CkUG1n+GD&_f9g>V`6opjV zS;ElDJwD4;J2)UNm}|RjMoudS{wNUH(mKl&NsrtzK1s4KRzk*w=QXV{UR;zGh><h) zt`Gw~QllYDA(k3-X%)&=2UUuRkDQ?+QW7W-J`{*TR?R-g-L5olaxlV?|9vd{ZEaQ& z^xLNvZ7Yek_jrR%C;|-?WYDB`&*jB)1fgdzSQwTeSnuf$OKsLXAy?;bY!{-&?V8MV zfD&hNfmt>97}F8K@?5X|pTUwUl7Tku%O<oI)jng#kghX=;yzf1^MAWcuZnR~QWvz1 zgngbA>j%$ndW8!D)RCO7r)Do)%SXR<2<WzbG>m12#{nxCuL%)U@vsne=JC|LmXb9* zMt7Hzbz2Ho;$pMH4vcK#q9e`)J%h!U?Y5V<Y3gj_sfmv?t<|AtfAnxVh%=M2yx)li z#3~uRcv5gYpds4pAJ>l?#JH5AAE6XJ`_0m8|84{rKo?u?R81)<o&Ig@&LCs@$XTb3 z-~t@G^ukX{VsG65=x6HU1M(H)BP%RS;|RLo&PB&b^0;%xS%?8vL+uo+evgYz>g+~S zpMMIBu^!G5@Wx#el#594$!IWi2(yguW>?m2?*Kb~qLMgc@ASU(+vwpzWiVOFFcEV> zfl|?p4l{a7I_*6`I0?QavWpVU1t16+a>B}YxN_dlOoST*D(H?S3GL9N+yocQoXPCs z3M<y0)<UeVBQpYBlBHMfJlo96b$0?yJYV+AcUpbyUPd@VLUKRGnWZnZysa}^lF@Ig z0A^8Z95YpQ^&SJDN(u?$P)ZN$CVrq_p1+>&6lCNp@Ip58dp1N+S0(bZInBniiIQ>Q zxU=Fj`4Xft-9(^mCvroN!xmXCyog(hoornIWHqj?0I0HiXg+^E!1|+zP=Dnr?~Vl0 zwi!rl#<m)uj_`)+wPtFg4ac|w{m+&%;1TZG#j%@?x_a%B?Se81*`ha(4zUqybSZ{& zuId8I);`of*u1EL{y9rR7NVZPpfl=>E;AY`rs;<434!_qI=Thu6(!^%&tRT(jEcfR zktp7|fB~x>##PDZV*6ZpYcf$s+V6FObD6dQb&QTg6d?CtB&qi5{vqxcFqnv>#b|DU z)0YQt`V+3QN$n-uy#+HXBvpwt;CMU{MbxC|&OyAPN&Z9D2d}f7uV`lCsveNmMP=*w za+D>bwyLT*1xlyl%kX^GD>om}2m2O_;-SWxfqaxHmi%ocNMOeNapUqFikgQ6mQgD6 z5y5*s1n>jedwzd7WC6t-;E|8i6WOWq-Sir4EjaA_8-3B^vY^3~xW24&iRg(eXBW6z zL48I0vAh(8>z;^Kj(dum#b&$66K<kKQf3rTfCl86iGE}nP5Ncr_V^5S%XNpz9sUXn zZTLJzQD;~|b+Wcr1!fg3K&i-2vB=p+^cjpRMlpaS^ZRwYfgzAtIM?J1hN@Urf^^0_ z=~CvxTV8h^3x@IaxA}n?bgy^vNnPYu<--&RN1iZvOKX!$uRbGU5XIpzr^r&KkCfx7 zKWrJfU`}(dK?mAr(pDd3_2YgYCQ)jlE|n*?8(}`o3N)-V8J$-_8K{Sxe{sc}o%dZl z`)EhaS=RbkdT$0qJ^De-mS&lZ@?3Dgf6E%}{%VQDxi`CJ;eo&zX*5e`{L+Q5&tod9 zt@XTZTyGWkZ1Mb?Jiz|8YBB4$i)rnm#m{jSu506&4Y2c7(Lv9Z1#eot-cj!H*R6v+ z`-MvC#6An!1*cLa*fxHJ#^ZC-C4)>qeecY!_A8c$>Dx-6C<#%XwtXD&mvwgu1~e+5 zVm9(~1oklhg_sfA;+%&l9|OBNQO*`@r2O^W2+At{0iP}5OU0;|l_G}S<Z0c{LN52p zPDUNqTLBU$C2;EBpqjlK=6R)3|Dk9Ka&-tEm0Bwbi({Y$-;dah$K3`8AzkUuB_i=k zzd1jm2CM<^k5cBVx|(eaO)id;Z5B8imY_6t`V(ru|8u3dhTD6;2Hyhbw-5E>J#SE7 zkq^0fXY%#Jsr`1T&p`Nyun-$<fQlD4iLV>NOz`qY@eY?}nQ2A_<2Q#)B|=G;2C)J0 zU4eyq0{!^6e2;~ChiHrNQ&0f>=2Q;&z2ea+dmNPf(zZUj2UiZ+m<EJ8V7e%WtZl)( za4c>HJ)$KjvG%Ri&NSBBFFi51(Jnqoe`zf&sJ_uENd>GET58<{b|t@VfWMQvb(>-A z?-v;FvYLYgUgf;nd@z3ezSwl>9WO##sG1eXl8XEC5`Mr2x_Ti0UhRs$Y9sKLt@e1$ z_NMK^H%2n@?T=O8$A=^Wtcf>0^i7-7;#66^YBbYGljizE6dybd7SddHex(^W4|fr| z+MQ{MI}J><tXO%kHnCQO6fVJ2z9`oLigEk3R$en$;aQ==-nnGmcCj;%Cs4jl<oE^_ z^rF>(gF}#&BR$;o<!;zr;N}KG%<A?ns5->r(2LuYGlFPn3~=*EGV%tmnJa>?MW?+i zKX*_U#l&~&Ih>{5brKC$wts6U`6YyL6tVikZ1R^G%7i^W$b%roMAZ+@cMYP3#a%Ka zKHJms`O)LU_QuH&appB`TffnQLKLMlVSu3R+F9Xy$d^#e-8Dm2|Hk*g6_S1g?w<N~ zbJI0`uDqx)7dGQHXfZ;x=sT>4AUpaM7rqLMnSMi8ghL|9kYzUhZzs1SY<RLpLQQl5 zVUo38;BK2fa7o{Se$9<2^3Z6)^8>%1#i?4M*!xI9dG`dq2tc9L*MVQ5w}Oy`P&opN z;$2hp*tv=Gp6vzHQQ#+o)emg+v$u1FoaC8<d6KA9v6KUtKqmv|MxVv>+p6;0oXt>! zltY-PQoc-^bfyV1+B%B$)5kt7w+{xJh%UZ7EyrbJ9t`f<Yd@)LQ_nJ^ef3LDJ9SD3 z;9!7uG7Q7xA0~^^VWLZpN;ERG{3=>MpZN&uJs#GkQXs1|!~qe!1UBmZR^oNX*Y0$+ zSiFaK*GNi9AmjxBFkiIxOU9POnMP*hd@nD+OKaz?S)WO)R_}HI`gf-{Z+(C5&$U-( z9g|Pre0sScLE-A>A{G~?MhE)HfMzOx#>K<)>D}J$J@BMIGwM!BF!l?#{iALxm~!wI zs2kR3S}r^ap#-krs9w)Xl(*1ZF7a^)BGO1Yky9JnsW<uAJ*(-hc=Y_38#=nRQ{FTf z8EVDlG<{aR>c4o|j289i#~-zO0A^b7zOd4?Il9)(Osj=>KEz(BtO<xrUYMORPqMVI zupCQdx)TpzUJ3Th5*j4zs=S<B(f<Cv!eQd)$Z!RzA`URzm!-ypEslHb`9<E@o!{h* z2w!~V8Y{0?or05%DSYPC4}T=*<AydPnTkCkX+7Qq8YMWmV|#6;;=KUvVru4y*})LT zuYf+wRq3W9O%(a?+G%U1l8JqmZ?74_T>jbqhi~7*Eq@AIm1sSy!n$yG-<j7N5kA%y z?jcUR3kU<AE7SFW(Jl=|e4|OI;}+a)+jCogyckY^#@QH6F^l*W2R&Y7aH6sfzeO~) zx$Gec3+3*)I@jm4*oLhp<nv%>SIM<a>vgx~pJE>mh8LyRbeAXZHP}nbuI6<UL>C+f zd-Ack`H4~=yy9k)<!{^&-g<X22&NpO8IBc>v84l1e7S#Q9(9emx7iLb-DwK4@Ue3N zS}USpiHX!;1}mF+UJ+eF#@h&P6}L2cn}<lO9ZP%T%Hpue2eGGK<&Enchu1!cg;f@g z2|92%@t#!IffG(6E7aRAfkStDL@8za(RwJ$QMWl`figNq^PZE^yW={y;6S%FUZ-8? zE0e(Y0#kHocKr2N`kp_Ac{2o0FJ_`6=ImS!fdCg5OxWvrlT+_3<!F9R5DgUvx}m%^ z3~!Lmj_E58jucjE4p2t-apBpbp9WEk%<csbc1YYcVo0Du(1ZUgjm$+g_u;|sIw~k* zh^y|rI8Nr>yj5Vi>t$#8wYYMrYs%pW4;SDU2+WFs2X*U9=<F6oJ!Rgr=$U6Q<Drdl z86MVztMc#NIiHz}lYNb=sXPCQX+w=gR`E^F<)@O2-@LRkJ;7kmo#k{D4kHT7!jGoN zoIu`ypcaYV5ztnTP>UoqDMZgw*`qhe>}}2|?D^<;gSi;VCF3n86KeK(ORDigOgjol z0Tj!SQOl9(K^<7U8T;Pap{+8m+*UtVAO9J3>TA-Ym3CBqOfdO{xw>nGssgBjXc22P zv15*lw-aL$t_|Lu2DPwVCH8yv+1+pm((-4E2PN#V>_c$_@mN6ns}y$Cl70?h6e1R* z(a%DU%(#2<x5}63Vh_Wumq-Lhw_QlU;+JqP_}Q^XtzJ@@Vl#q+Q~n4@!9SMZE(sN7 z?D4Fu9=_iOiSFG;_m;|O9A$(uNgh|g8oi$-Wsql3J05>!={jACOF2vUrI@j-EY3Fx z&{kyvj`SPL6luyLWEQeF$!?eIYd;M|AqG&}I5=Pi?ffvM*wh0W=7M<5-f&|9E6S%K z^(N01uq#zQ+(cF-+kDm(&PE0?SJ;H^_ftt{cJllZDcHj8@-9ZxBUpu`0?>B{c#SdK zEZ(j8oZx>rP4Py+f}aQ*uRa<R!G(?lAL>L?k1#zs3EmYGS<J|38Au`#x;E}a#s4!} zcSv^2WJpaO60YP{bC0tCiPkeA!uozM<Og4Pp)k1lHRpiPvH!?J3>jf_Z|$2B@3~JQ z&WO2T^7lzT?XS4xemEAj(i_!#OLZ=OOr{FUX>wW<bF;&TlmB?Ua%Um`l_!hzo~A8h zWVP)EK(#k_k&r-;RLCF0FliZ6gi!yY!M}^GFqk{k!4@MA9mpA{CqaDmVEnPFopxG7 z-R?-v`>jsyq_v$uk$;k3UW=sk2Xj9;_LgJPdb(5^`y+t4F6IX;S(^piFHy@lc1p@k zf}b;oqZbu3EH2?|NrP5WYT!*zr)(Bxo3?8qjlN2rR-nv7Qb5giQmi7{N{xZ+R2|q; zL0clAn;vog7D%i!7G6;9m)TW*wT))cz^%D9drQxgDb^DBlbWpRv7oJHDaL*;PZD=1 zd^(z2B_r)Ph71Z}!(~WigUy}XKODe4xpzK@s%d2<o2PakdI{U0=XZ&y3M~BuGt}?O zF7aqzj;hWhBLuK!dVQj=b<W;(yA=^_mu{aWI?;0gMNyG<br=?W8x}!h>6w_PW~d6H zv=`H*1f}viH)lkB6|Pz(MxWNPT8dI_XC!Zys`y;Q`F|PSo+Z%bv^w=|`_4skVElj; z_VotrhaI?F>CFx#r~^D<a8OwG%eg2%zv^wa5Y80cT=YtDRKXE^G);eLTSwKMK9cI; z2Rv#6p5cwEZ!)?z($PIvNb_mz&{$a7NI#85+VX;2)5mE@AsS#}OpHGg+;Qa)jL=dr zbCa~nrV2jaF@110{Lq~~FP2JqsPZLn5Lz#>ZL~Q!UVf?u*xr|H&7y6md`NmTJLRrF z9@pR=Sf2qcUTNDd%q+D$C9F%3>ER|nKH&I3hUcqM!1XlQr2={ygcKU&-kO^?X2!^6 zT5)TAfgmBxFZja4+3XZgWd(+r{GhwSX=BshTuxfo7-btfz?Wp${N{*nTEBGJm~K>P z!%v>ZH*D7niCjX$UGxokx=(qorz*3+r5}*)jdAz$7*=2JMat&#gsU@R{R=u^BSGRa z^Ca&?wLbzp%xi}@A?BrO4ZD%-F1+YL;>q;3rZ0mD*WcKzoOrU=aY2TSKWk4@8BX7J z9EbX9SjJSFm3gjiOb(w%`9EC4fF|(sp>eAv*Vf)NZukAW62&d`MAu6_R@E7t&q9Wg zgNYS8Eus3{O_lq&zj4&7*FXdYBAv!m=RT|By7!t7kaBGILtAxdoeD37Aq~>LJfFg+ z&}DNwL~zb<aKPKOYt3=wIPauxujQq6spS_o%QU7Pl1nau_Io)}#R&h7&SmIU=-d2< zoa;XCE?kRkh9|-xYvM0#6%HoKb!04gL7!0}SOE0lqhHT1>_obVc=cEHLGukv$Ni(V zQgAPKI;rBEmrz&kq{T0u2RW=85*HK5&`@M0lY$|xq=av_v|yf5bb*`0`QT!(ZmPK^ zYrO7#>+FkE%1@M=M9L(`yV|>JC|2?YM$o(D(DWghb)6+7BLfaZC4!cRmNyf;f+Y+; zSlB;YTNOIE&^7#aQi^b(Dc;?H48|F@u~$(otPQDtU%1Z>6U3XZ30bHk)10sLBGWKn z0+M>X;qif>Ad1)blB^r`KI*=3uQQcF(KU?L5xzEEY8>YL0E#j{h+p)a`=}m<u5XQk zAS8hJ4!NTof_#|^9=OH<r|VW-z{SM5ZBqwRji1GV?dF!8qPjX;X_EPd8T0)19~%fF z^0>KTD-vRnXbR|;F#;kS>JHdukTllbl`r^+I|IoI2J7CASvMWp@(dUlth|xUG)q$I zI-_<AbbPZbQQM&GL{<>rXWIKoK~S79NIV`tfBI(nCETX;JK+ux@k6WEJ>WEfXp_!a z^)Y$>`5*iE3<N=hy>wTyLi66xB1pjaqD7AQ@lwKd%k>g6zzcgo`g-=eOT@Sqp8^0l zYl6?Fn~I$-q&WR65m-bu&>ZwsM*>M;AOOM+zJ0KEY8SGro3{Wf@Lp^JroFy3RncAD zWgdcy0lGHSmtzF0WPcQ$f`w-5Bx(;LSMpoj&+`-ejt{~*JYZF<%~vpK%lw8;qTCBz z6u-6?&WF21qW+~T+)s5iW>J_27hg}A7gs6}{2%O{mXN`r$ObDeRvuU?O6Ftj-V>pL zX$ZapN8|5*YM%aisz3??8!#<H8r)eLUz~Q&o8yx`1BsKb54^zl`l_N_b;PHBszIHL zU-?aO<OkOl^(5PY@8f+~r?~38Wx=-3pn~egvZU1ZUis%K%V}xrFVgKJ{h{qSv!iUV z!K=D;h&faGu?=pb_I2S>Oy&%kgB1sU^y=Q`9g}RH29r+d_19oo>YlAYH;lVbK^fYq z7kCBywRtRIZTwiui)ux%ph9#_&&zM0?qV8LlX`;TX_6Ez;o&VEVuVzxjxPqj@Hsj7 zc#l@(m82xQR&qqp_jP=3pU6;J#I%HdjZezJo_B_?DJ&h}8m*hAW7@hoIU_srehxp> zhHk0Wtr=k-I=fN=J#j)D>(4F!UHC(xc9?bweHMt(TKv}|k$~%{&jk^|W5?+8#}e`v z^eo;CSrwM1addPk5DG5i(~o<2JzL5NN_Y*(?D(Ff*Ktni1T-at8Cc5rw$c?QurKG< z_a`E4(7wzpdFEP_-kOVI;e|<zZ~4L}`c2u*L}kRfktg%9vIGpOpng#A$1kKA%bNqx zEE)AABR46&fR;r4;l*^af5I-3#J;`{FsjWgB`u}_+sRr9!aJYqO2mzmebjE_n?b)8 zUwbsF$a&*tmpN>C)*Br4uJS&S92AAbMmQ%)@R!O!HAVuH`_f)wj#rI<8;hamFIEwN zLVB<Pu~9MyB-edFskAA`R3E*{64QLzWS#R8U(pKt^=L3{EcR1*USX#7k(Fj|L}5tE zPOME}mbHQ^8W1-Zli-+U6?VP{RxOE$pUX5-*KyTM+x_-4W)6G^Bwp1KpM$~Y=WPlc zv*H@{t;-3^@2ljh9mH&iyuRQ+u2ccYx<E<`4bQ%PMW7=2QFlnAhKD2}IF_fO!Xd(2 zIs_Q6%_D}<g6%C2p1h=smiB$3F|Vx<8@h?zUty!hjpY=X9X)WLih;ON{=RdP^#7zg zn#o+C)dwKQ>FYh90=mORkBdweJ+qA8bD|1m)v9b>olyxJ)BC;lJ}Fz*L&2;=OXS%j z(ld@)+Z7*8!{#fl+K?H0n%2zfV*Rz6p(4&~7R3m@`LjwL>8Gv{BT&c+MuEmwX)3$u zvgN1<wqX;fDdPDS%u8LnI4m&$bbgt4Z80&>%t&>-Howraq9NaAb&`gnM!_I;55n{v zT{-l1wo#p0)nJ2*qsxdebkdts@atk%WtMX!?ntFolS|!knzMe3d%C^%Wpjzn3WfG( z>^?<Ojq9k*_rSE8I$meq*mSq)WiEnJN$pt3m$k*YWnXKls7UF>Tk9v07NE-tOpMzp zlniMyL!Q<wMPF<!W!^;gE={Td!eaxmL%M%P&j=<~KQQk7!xR2Civq+STFvaN$p29u z7lUn2qh_Tu+uGJI)*M>tr6YN~#6xD$K4A{@+k^RMlX~rt+THo6CH%`zm_+>Y<+54Y z7X2#z4`FneX$)>iiWUDS-^nH$r4G<%WQkPW=~p?*N&?xk=uh1(LEtW;2mBCP4pCxL z4-5<==6o~RBs?2_PXvU<*Bb}e`;&l|w`pa&KF!=@7LrU8`OVf0+H&KpIbfKa;;e{G z+o+V#Wz%KCFLa6svusJvJ*G&XL@=LG*td8QrJJqcA6f%dYj&tw6R@4OLT?X=$0TZ7 zP1e3lw5+G6&gD=m=hmHW;*@jDlq{^R+IIRb))c(=CCmq#Qcn$t6~teWrjnI5D@uNJ zFCixU`YCu`F@wNs$vx}g#AOz*U+D=MV!#w}Qr8UCAeLXXHet32mU)XM^;p9b0)^rb z%kQP*@`l4JF0i6KQ3)pf4mu(c$PtZ8OqpGE5!6^e;?K;TRQ8k{vr5v++BFd@)GxJI zHJ$VEf8ffS4db7{H~UiE%Xs(7m!l6Hx|S+TVbmjVc5$|NY$t8NZNr-!-3|%6x!_f* z5?-T?ke-VlyhRCD<i6yWkLuNRW&55I5SRB2VG)T9e@DyKnAKCAzHntr%WDRTu8}?% z9%odd(l~H9Yy>}pr6uj|EQ9&foCNZRX8;PJKs$~)`Q@ENXAF-rC9)(&<rxchyfRz0 z!+Bx1Oou$=-N72CkKruO<y$|K;%+*Z7V=aWq`UEXUKR2WY7FoLM70LzQxE8QR2^m1 zZ>xQ^?&rT$KCUP)Peo{~z50?^VNQN!$5ODeyv87}p1KG+ndOdIepA3H<rhCtl?Tj3 ziYYcTHIndEch&M;QpoJEQaDQ!u;s<2At-an(*q1!9h1@EmhNkOyjN~DJdh)9DlBNW zTLv&!*7S0Euh|dd=`oNH+Tj`<paCLFTDS{sv#rDg3&C7L@7C1VFD+Cqx!zhC=`Si| zeOK&d^-T$7_8gj3kV2wbb^?Yz4`K{*HIdU1)L|^E%B?@NZ+g@8<JF%{BWTy@!}`Sa zD06;xZKs5vP~`}3RFbeaJli{Rg!22TPtxwC0jkA*(oX7{_be8ob0B#64_!C`2A}EF z=T7I5;`(r(>`)M>2@BJgm8Lmvxw``7&+7%`A;RY6@j9LELA0&Ed<7^@c}w}uWx2JU zs!-m1YBgBR*AMT9Q*~(0DVPi2z8u%Stb6?>l$XYIA$9&f9VPC=Zso)9nWJobJlngq zB(v{2PW1AG6O#6-B8H#32iNdRt@Xv{+dqFo_|t5YJbd7J=;Emiu{j~31%ooYsTDoJ zbx5P;!=bCRZw*)N&22LV>6{Fb(oD5X^iF;vJ$2GJh^)yS?(Ef17pqn&iOHBXV9J=v zJH0<JCRF;ZdwhIqnTk=Ei*_rtvfS8sjUM$Ov8Gx2ci$l-_xVv}8Bi#V+fX}aK5Gs6 zeI=RdlH*J2)8zYScMI-P(auy8sm_nnZi3Or`D8LYMdBg+Pw<67B~^}8wwKLQzP=*B zi^r~?Gwl#VPC(rgonW+2g=!pclYU1GPU<z)cxdPj9F-zCc%M2#R-di*QUSdD{Dh2I zGP@KhWYn>HE6{gF@x-V0Wl{5QO2vt)Ll6jmr9p?gtU(218I8$()4TILc~W_72POT} zQ@>k`4)n?Y6gTAZ@w;ZX9CA|<tl1fj$wdGS5x1fKt#-Q|OwX1ItJY%+4Ur*gQVg^u zO>HO*b4+u*Yuo8H&`$ntt;=8)Hk=33a<t{qs?FVzx5RgJuU@g{w*Z7#!X>h&tDmc3 zMdyX@y%$`lzA0uU+{u?tWxK>0sc*U)OGMo|q;Hj&p4}kQ*K^s3KznL?%TUe;bx(54 z2aE0MtGo^Qr+%i86ik$$kl(%2;LqIO<oK`~!oa}mbAcwyWqY+;XUhFhb#2x8N-W#s zRW<jpXKe~MAnqJ&jJewMF#H1{(>Hbl@It?mz6Zbuh-6d2P-p7LZN9Y|7T`121U5@t zFELJeI=jBV=H%jht3wLI&@@o%w{ZL`lo+Ot)Zx5E>mqjY!veek$|2gEsf##A5=$U_ zB>e>Jdker8Gh{z>fe7`m2r#AGNTU6Z7*l+WYjCw6`USF|TL1M(IEl;(o<;`L3o9?6 zs_A+a_Hfi@BN9zbVkhzjj|CR*5=r0pJPFL#U7i1!ZGInnq7>p!RSlWuaQ<O^73~e} zoGM^g2B8qREqDwaaM?6}gtmYE;RS#~sogb2Mcc|#7vtno-jdMwnWSi%RAQY)cJ+5f z^ndx=pNu$ss`Gj&1PC@M%_)0Pw=7S%Ry5;Jk`(ot+siIYsAvtRfIq+BdpF@4H454% z{HKoi??8Y7;z$x0{&8>4)WLyA2TQt+`}|a91yOS?Gg35+_q;(ergA$2XktBKs0aBp zPipfK2AWB&-<%-JkgW0ax3L+7zoA)@IyZMdE*TA{q01GWT7T#~%qGr!DBTv%A`dwU zU1?o}P#PRcp1tjBUj90_s*s^kv9-pxfpoQ^iKJmyUgFlQEcdv--&~#H(Xn{HwvxZR za+0zr-P&G*9ZjZNVvgJe1fGAmeWXRK&XIqg1F5{Q;b5QOf1^%)(Ya(pElpJB!n+`f zi%0v<(DC>F7)rp-KIT?N1+)?l_yODu?0;-_c#ox@oFxeQy{m_!FYiy?KgYow^Vv=V z57`3;D`;L>fSZ}n7B_=j=dO>8rLT(<yBFoaKuFoNPL=#F%9*b<Ffd>cvF{$B?({O5 zy~<aLrK8{S7!+wXYL9$u403SvWs$cOHta!6e}6pxtgIDBKQP4i;OZqj7j1pVkMDrQ z>lT8(W}6i!tryo9o8fIMpLkncywFBM3F?I7|0urwcGGp_4tBdg7hEM2f)JR2)IrRn zs-Zk7G$$e%#~C~=H`ZjNm+x*8TJ$PLR9+Bfcz8q!!#W?e_2{i}p701yKdQ%EeNM!e z4Vw5<PfswLt(Khw3cETPj>#BW(5ed2)2Fy-oym_=6l{xTmy=%;neE9Ji?tfU%KO#- ze6i@QfxufQFLN2t-{o71fcW`1A3w?i^yKyEjl0_sWxc~|mh4mo1FTF+1#<DckqZy2 zqIi^4`q@`LEjM-UXUXvd^XMISDkAwp+bSb}=8ky?vc3@(ksI&IRlpfe`wzV%kE+g* zEdQ}@LaEQPf~NUCKZPS2U~#a}^elwPt3z2X+-m11EO^9LO@C3NPvwg-e%P+DTApol zmMPIjd=j`wgRQV2_Zd|k{;uy1!D6%FP?PHkgMA<ztTd*>9S!GUl1wyiU@Btzo#H5w z>i5;3o1#XrgYWBUqJQp#ZLCTx-^FQRT}BcAMTsQ>=mjblKE}6ZX2M5QZad5R-=<Ax zbVLT@SJ!WCkb81}d^2D%pz19qe9RwzNJ^w|nz%-1p&#z{zEHc>VbJRO3(ur_*CKP# zmu64-73?sSf)0u7imS&VeFEpr0fN=D;mGKiXJpLoFf9YWcq%y4Rhpc$&|sd>%loHO zN-DYp1}?7GB5Mr3$J>>ogBg`@?F9BcaG<7#pOu53low^R@pA-fty#-rD*IK(3X@o# ztIlot%D8q~U(FcQb_o6Y@K)z`oV;wMOgCFx3^4AD1_TB=GF^zES9u1_q-JkDSX2Zo zomDKEI2Lu}7t{p5h>X)h;r>S3DhQO)B8O%$zx9!sAJj0XaB8AYjD64cv%PtW=`ShG z)4|I7W_XjMEF-0Sf@bAmh~>V%eW(`ejOoH8F3&;2FgDbI?5RrT@~JFnV$c7;AH}Ku z?#^K1!|Pp!UuY2k10BIHL*B*5VKqbKePn+wZ3um|kP70rxAi9mBh=0Nvt6!Wm#cF; zwhpM#g3-BuB!O>A$1%N2A@dtNN&e|vtqpNyYT+U+D(a1H3JV+!u%Rjl6tH~mv&LyW zNUDZmTfbSEcmqSIkjjer_4yMPU;#FuC;O$yZhhZs03lGH^Q3@<nxtylv*?iqP6wPe zAk69gVD7PI`tb(%VQqFvL4eWcO*BDgV_wH}$H3J-Z>IS2<3{e#Z(n*Yp9c>5$eVLa z*eaI~jaOS(lQL6+;@lPXvr*5pQHy^4KC=UTA=H7dB#yE3PiuIc)B=RZ0>UAbznP$^ z*a#_*WA^WEM=;e>;C($llm`FtRXAKL@$?e+p<2WH{#Yu68R7MvN4=1_T7i`|VebX> zT(sv1@uZ6Dua@)HRC)~%!7zGg9!T&*t(s$ttP&rEwqa4*bDfgF+{mSlfjGZiKJ)-X z6m{KqS*E{SO%km&JO%I@IrHh#X4MLAl*1|u$_Mt%RKxyzt(A)VLbaSeL$MXzGP^9m z>HXLM!~P*%Btt$lmUJ2&vfUf2lYL>8;jing&g$sY|2b2{iq{IR-gR%blf#)5G1P_u zWTnrFiXK_~Tu$9jx_F8~0^eW;ejyKchUW&A-n}_S<A)NKWEu$1ZRiUlr`793yBCt5 ziZN{`#>R+Jo$NSXaw5;~E@UGq+lJ0zO0;PwbW_kv2V1At(8=Fst2^wX7hQKkyV5#0 z#~x*(@JIRJ=s65l`RnuxTtU6L&2n$P3`ewfSG>1RVC+2*RQUP_>IiGBI`i<V^fiZ_ zlmUx{6`EB^GJJ(At+s%suAokx18j_O^0DOs_5coZlb)t_S|4|r-;@+l6TLY`7AA!E zk~+>s9Tcenil)b@^LVMJzJ@iEHDB62NU!^5m2K>8ve&KUFC{KwpV?c1vQB-|&31+6 zCh0bt74-$wv!33cZ`28qUEk&j!^i)I(%i|Z-N>^&%jq@2hOLzYS_9(-ghebIT!eo{ z=~Q{r&0jiZ^I8MH_FIW9hy;O-=@JQ>UvQo{;sI83AtVpjr}(VqaXKb1f316PQAsth z8&DO94bb=qA8HYobXu&9gc&l3d3rcr+L-199n`$4#gcHI(_nG+x`;OMZX2R`bKeW= z<cp^egmsQA&C7~9_@|aeQYrp;u{zJP1$pNfF@9<GmZm(}LUA$1Q4$qojhOD?*?|3U z)&X}zYx$d4e`i?kOl~eSSmfU)GuaI7F_NdfI(qEvdD##l!f1{B;|BGiq|odQS_&bi zU@p4!3S5zQiy*l$94GkWuO|$}Y~MWt+pm|dKXWr9fO_ZARo2`2iUySyCGmDeimc2} z(IWONA8jvnhLNrx@xDPIVf(We0=vHuI9fVw!hqN2S$L^v@@H|dk?eu}{aJn@k`>p< z#zpRn%*BC0_lq{#qq5H%*;9rsYZ-5958z$ji6%|@!t(<CH(~AU-R_1lKhC9e7s|&K zV2mAVS0!PJq}bUbO7IYz3O7Z~;cnLjw8mugCy=Mn?l}=N&Qj^Z^F(Xzo=e~oTdkY} zlN2@N(Q?N?t^xH66MUT(Bn_@c>Th^9w%r_UZH?7M9?Nmxafvc2oI#M|IT$>T}m zi^}KuIbx1K!+5oEM%;4#Dg0|F-jjrkG1fh5lh22WpCTd)(9j&VwD}Fw6?%Mxr=}oV zqUfot58Avbtwm6b*=Mz^GqwrGQURHFWm(%HkbcZ}ofOqq1&kR6c6=55IP11RKrb22 zB9q)6K^+|V=S%a@gSu;8BPrzRLyLZ=bGkUqmymC&#K_)?R@LbRY?@iLA<%Os-zJ&- zbibWi%i|xWDLWrDC)(+?J{RTmS4m*%u39#7`%y0hg;}V}$x0ulUA`1@q43+#kxuw| z!^tZ%T6?JAxM^v!U^z~I92-u>o{azbOU0KttM=S_qz%}HF9<~xJ>KxRz*33{)VKEc zYnyq_G34@ZEWjFg!!Dhfk8INmTmz7>tf=j=%vT8y_66l$GPY40kn7Q!^Y^V$jCe!t zN4ur4E%<@2^sv5l8A}~n*=7vTKxfT)#guS)zQ*EI;ViUOB|bcyYo-XQq4}hJ3D6Du zD#B!TIW1pQCx2V2aiE564K#~7AadC7^_#px^U&Hve&5Bi91q~IdCL)}73q>t8gVaw z$}j0zA-|XCqbhGL$iW)1nMI@}M+sX>`r2MvOK>3RgpA&DQYMohqtqCCDJFAv6|4Z? z;WBgbeioeA#@RTQO$gZkgNBGdXkcNgN%V`9QT`^suLsoS6=lg2_@<cUdI{}9xkkHQ za{aoTNRq$E%D<qO3aSFYD?|9-PbgO6CaRDW`EHR!0bI)&Ht$d8-_1adE5*}^><@@Y z7QI%Qjf+=jml80;SHkcT5<AT#h&US4JY&XR*17=P(gGR$1b3<pq+fB^Q-fC4w$5@2 z`P<yja)8LX38{qhbx}-OmvPs6CENW9Y6o^vV_VJ|VXmx9qFHUhv=Ek%`zaqJc%WbB zy~bSINT|R!nH{eK`Vp4S3Qpau2#SZxUHWfA8%7t(Ss{8vi!2&1NbuZ;S6x@kG$_oq zF3CH_n$PxLu$@#lo_Og)a7i*q043-Kf+D^%ct9ls9ZO0gd%|~C>k+La67Y99#}s9& zDWH}&#nkrqb_bi)GLvZit?cibbyus}vB0Y<ls8822!;|?!b}~sE=Tch`(t*#vg%RX zc0^(|v@fq|SY1`jCipham3!&fN1e6sT<KZOarHS*U8gkgn}V-VcJ=44w^~9Jm7Zx- z1p~Qgoj5GG4i(azpJBOm)v4sL>8gqN^OV4vB*<e!XXnM!){HD@9I)Trlo2dBmHwJL zO0GSy?YJ2{bRVfYwf64R2rA+E3F9j4r;G=|NKrGeWuNxY5#)_@&9iln7uZ1~xvo1P z;7^7_WDNIJzYjoeoBZ<4I7@A9uZLdJK?`_PcYtF$FNfbRT}``7nDl57XPio(IF=E& zz%hQH1XKw)@M-ppNr<$(hcjbHtvIy^;&K@ikg2JK^ztu;(TM_xB)*yw;3brMP3yBW z9Z(xE8JOtGimsXU)8JS_9pd38oDXk42KO6)dT~f*5|}jvGIRX{{eI3jNAdBx8i?+z zpv;leg^6ir5cg5DMb27b8*sg1xu{DVhurI3Nj&tHLSZna{7ChhlXKbmJF#tF<m3Az zo8o>>)>m4Wg&mf5cOS)3F!)X8xZ*Mlte5t=n$@`X)teV48)2{{%N__42p6+#eeR2& zH)~Tbg_5-5`v{&~YFvaz;g}YIQGt0ZU#n2jER6gt_;BS!xIWwTj%UlI^o`M_VGPzg z{+bkDnrzLs(i>gyyHDF86bf3o9ZK4i3Kk7%%<v6i`+tZ!�!hs9m2UDmFks1f(iR z=pab1O79({gVL+i(83lK5s}`c_uiz2mY_)Qy@e1dp%)2+kO1N0`R;e`pNx!=J=V&e ztL!=F+V3-aep@u|Htx4_=tW>g4;uts-@lilT^)=(U;6X97iB=QY@Nzv0;Zfv5g6#y z#IsjY(3`A{=G8=y9F!tg2p4Vk08|6KIBvw((e}^5vx7Edb|iAsKCd5FF8mAkX<_<p zQ3G+fS}~orq{ixz)vJ$OdfO40o^ACrLeJobrL<M5Y6WX0<xcFwb;iO)fAbRyFyD`s z{1GHu$-vKn4MzM*NykQ-K&pfnM9TCsn0$qW9s@nc%7vf@gsq9ZxQ#-f0Q}=_{PB&4 zIDszE8Mems^X>Q-n-Zp9r+uP?L4>3~BihaXcs@Ju<om&z@c1;U?ts8^R~SSKd*l6B z<2Nxt5}3Yt)^)X|f4z%o`v8S=`qb4^m&(nx9Fy+HI(qH1<;nRVj}|miAKGX?w7GZ4 zyLrF?d@o=I1KP*YeGl1yZbbi+ul5kzrbMN0(E;~Ti4C7>pI`LOenKHUMM`b8A36Z9 zGNUUcvbo7vkCYeBUUQ@96mkQvw^PoZZygnc8@&Ak(99@NUMsqN3jg-8Xqa2<R`K!N z#aI$~`Wt2$-RH8#DS1L~eVHB&mNrSFmg7>NcqaX{f45}v_3g5Q5zs!VeMx8SqGIJc z=Lby5ofqcEKermKaqgf=k3Seu3R=+$Ho{{ZD=<@Za)(WM*5Jq5losc^b6ku`qLy;j z3xz*#h91|S|Ax1`w}CwMD3I&tK9Lb>A2CodZhN&ANL%ooNA}b4dA2|(x`w8u#_32S zBzk~cPN;llS#sXGUzH}_;U74cW<2SKrbGYN4vyc8S~2lCWg{kR(%p!G&yE6OPg-BT z@MY#NTz@}7|5Pe%iU=QjCTnjS?PF-}v}Gv3jAxlX>tahCce;9-3G#&{%S$&*MI@Y8 z9UkdUDY#qKOeu`-d`mqEL^hwT4py_2dHA6k@)73ST4ohXQxGK>(Q)Hqe6#Q^Ph5z3 z5E(j<GXZK+bK3p-K5Qws_%wdX3UWMDtWT`DP~WvyYHTfnHykrz@gCnda^KJC3%?5M zfJZeRB)x_T6yF6*vCdT?{in|Y;Y!b<-xJ@zUg~iwk}SW_4vGLgH94Ofy|ls684V$8 zyIYiBuf4<SME~qd5lUtQzH7Qm+qa{)`F{{Ph;+luvM=V~{6~~0<{<vAedUqCF4<ms z|0Ut-=_1iU!~mMTF6WjgMftEehLvzj^!0<~&yqBA$u9u?A_nPc$(+yQ5f0|yuZ%HQ z_2C<I<W`5;JKVyr_G1AZ(NN9o66*%!NeOr{8xC>F4e~xCw0$8w3#uAR@;@3J0N^#% z4bVb$=FLUE;J0&F!*)aeiKyW0T8Cyt&f6o6dX0Pj8xmjP@&uvol^_X2<V9=5iHDe9 zvgGVG29S2`=$ATGr}J|g3n#959ha2A+D-;evld<9=n0=Azs0dQ+|ds7m(`#4>h>B0 zw_8Q_&C<(rFE-V_h!ky3Y&=YSg5is-5WZGhY=9vA(8Tz3feRyDb?2;NbQ-@&SEHlF zmBtK1#g|BM6<u<x^6iPK1(k1WE{)D?K~msA0nVM%bAJ<AW!<!P!AB#(71$ALoU|~O zy7%;+PL!1p{Y8KOp|tbSL{_4i=&*JSh+=Rb9dzI0(y$qcpn4aPKzH%Jw&_>WYfp`? zCQS_XJCYndiqhu^RWEwD{3msG#a~u?)U9F(zvt9}#`mk7Pbw*>#jHB8#jzP2x9k8i zd|1K{10<^iQsAsx&^yh|S_zptW}bR>$gjMhD}!%;bet5T{^f_iShAU(W4%so3=7x< zF#ari9m=xLJkeG)77TMdv0imAag{l@zjDF)2>utU5lyX@*w*ylX`4XBYz#-KQ|5xV zm|u4exHml8O#dtyP=@ChBETXQ0IwghPTlk9*iZLB^CR$kP)<g|QOu4lUVqtcha<Rz zp{}efYGFg^BCML|8(_On8fX;5#^?6}|7c-gF9F3Lk(5vZL$oDwh6YvnOU=!;{)SGJ z<SevC5fX4;2_d6%N5*F!TV4iBNCMf?e)UJso$|?`QKKYV@qpc}V`Bo~?-(rOCrE`Q z*6#Z%j!g4uV-~V!_qJjikgn!Jyb>&?0bpuE`mgGsQYAIZ76#XYPejI&4v~|lf&nw@ znDdaTeaFpqgg!t8dD?>g7Svo(eLCp<r0#5gh4R#Sy4uZSkgxIljokP1PsyonjQ6H> ztPYqh)Oe<!FtyY?g!%w)gEmXFn_x@D1U;G)!$AYN(Di!IMMk?(U<nTQVzu)Qda%`v zBX2zLJG7m56n)o(B`|sWii>BUV|B=^X9?2|0>2R&NM6tKte8SFW<k($Qh4ij64aln z%i|fAOAv*Cd;6BP+*uUM=|CezHm5WQo}E}Uc5m4aMnWL~1tcjhyJ#=Z$w-NtB-S*t zct>D4np9`RMtf>Doz7y^nz-42>0OGujrD=TVYojNd8BfG8b)Q$b{9yq7xo`6YUfLg z_vVXV6iky09%i<$XN|%)NTBUo{c>m7VvF83H+|~dMsBC+W)2^;_!`4iEf(S_EWL4# zS=pidp@belqW^ATRv&+D^l`z4Syh?F_C!%KjWd%Q&+oVT$-f9tRJdwtf<*jJ_T7;z z#Nrf~Dcf6HRG?}gGsIFFgt}ZH*z#RyUqFV6BQ#QpW(`^QM%3D~&Ko<7Io~hu*%=_J z_AC}m&D-{}=Z7P~26+KYx<Y6Cw?!7NO8?xOceDf&FiAcbxJHht6j(<HBpgUJ&I|uG zVy8S>@y7Zo6S9ckqum6vALysLAsOf;N}M(!Fk<%U2ag6OH%MAX+k$qxL!me+9QQ$* zIv#mwRqiB%Sv3l9eovv}eph%pv}hspx2B1EP&rOMt$82T%I7lyiK-2h4~MD;9>H0q z0snS{tT$?U&(Wo$7zRJ@Td@#0KW!1X)v49;%~s3bI(1Dow^ruav$iasaoInMBYrXz z)^VfvSB`$)8&Tq$4oyDo(j0gsTWzO36%9&|2*l3&biV!4;3Jxr=!6)9J0_)|<O*V3 z4%Qb(MrfzrjQ?xp36iP_#rpcxy=h7m0JbI4`fFuueNSs<$z7G1G7*tDOV<G@8exk> z9G*gF@oc?#9^CDNIo}v{L7^{ZBR_b2TUKZNw{c2=M<DKHMKEW)kDSjIg~<m;nl~*w zpcB$Wiy0Lc*uH=ZHfZul10q@`ma^3@x2@7)-!G|b{bnz+(tr*Y6WAi$3R$ZL7P8_! z&Qz$++Ey0(vgj+xB+lQw>GZ4R`l>y2@Fd&j9`U*;O?tpmj?t!jN_DHB-@{ii1&H6~ ze%LP&DBYUN`QV(;y7!OXkH}Q+ozU+}edpm-?1!r4QSjR*cybMPml<mzSC(IQ@J%r( zix44l?f02}8{KC*V5><!*Oi)d226=>MZ_O1D_!xKt3E_b|8%-60@FVAr+2u%;4dtS zwexs-!z^j#x02wYCTVM)?wz~N-Rdep{zvab!w7#hE9lOkv$>~mk|1LHwZK&}!5d07 zJ}@VtB-1y|lfYs~Du%ARtCkl^e=2LxOXyKJ_#)+X*+`qC?1?YudvMW|4Upq=I%dZo zRps$<r^kQ$VjNeXzJJ`aK-0dBVtn}Ic9-r=swTo@oG??{FdOczmygL}&r`r5sr9bU zaMn;?-L}hHgbPp7UA16asa@>IN0NinYp)avCZlFW`oamJLcx-=2%F69VB_Hlv2<CB zQFNQ-anz(O-d4Sbs>X$J4mhHS7T{n~zbM^fPe;xrJ2iw9tzCMk7du1qJ0~VOEl_^S z9UmamOG``o?yyKVC}L08`{RB`eXk9JxG|j{#4S~W+g_uMIXmUZG3em};n85|1ZW_C zWBS;&oU0NjeI!Tr$D7Zr<CLaEXD|`60Gk}3@Y98d_N%d>j^X-%5KaG2#XBZb&f^Sa z>8o(Jqxdkeswtv)3%7)BG*OrzZ%}|eP@15*7?}z8;^MG5vCcC_rpTGTr!6sdRI(P^ zrASC9qo1>(>MpPQ1z04P;Llnr4RfcAtYfbIRKl--BP_aK|5#U|CHMU2noOPSTUP<% zZrnye`3W{WkYgCYMs4%ek*fx3(5}%vIm1uxO%m5U9p<ly_ULL08cJ&~Mwd;K@+Uca zju$7pqENGw!RLJ)O@t)y*@P*6Y=JD9mxVs`a)XTL))TTc%8sYZ=$%5|D++cpIB8bT z_zZ&@cJZpRx*U0Y&d<Di!k=wawPcD$Q9>T_Jdd+oDFMv6<HC`tZG;IapyPyUXq~R( zm@oXL{|hyiF^ck~P(LRFzKT6N(CS76^FZ%=J(aIh(tPN%GWCL^@^a~6WNe5JZko7e zhCsk*=Fz*eC^nx2V&CEyVhK%=WL<Jolf`wAnBtgAy=sKDWR#V|xJnJg_~d+6bm=gE zI2M-;1a9)3iF(Mu3Ms~@i+}NOKbV9bXSYuz#&+u8b9@fc@Jtzb_yl>Fu}$fh+Ho1P zqjSJ?J4h$!3=D~wg|lX8YflW;8}1BvTWcw1y~Ka=R`ma*q2VpOA3fcuYtm2ge8SMj z3{V+n8XCGVxI|VkOJLqx<EJS?mk%zN`)ALAu^M8RtJP8RD87~2I-OR&!LHiR-r8E= zt9li*x&igX2b0pcktzN5Xq?5eEx(f|2tx>Jq)Aya&)A`t3dMQK9A!Cn;AKFvr)jh2 z=z5lBtcl!d`fLamPP??S5&o<_>tlSsxruRge`fpMx&VL~2rKZ6yPE8Y&Cf=+41v8t zz?1vvCVft#h<8rM<wVg)aceU-&v(z#jm6Ws7a~~f%@Q5YC4rrTwXPqP69lo*@{-oG zaUYDeowjUUi~nem#>PnawnUJ9=dlmwstk_8x?QX5@gNz|06mpaaq(3Hhq{zC4LprM zlf$S4X)iUOWNMI7>}$$^to<)3fXzL-Pd;_T-r*t({yN7#>2^<*6H6TGbaPv~RQ8FF zem8uFcvb+Jl|kpHDF<JyPQDWBKWX3II_N+i=w`!v<Y$CW*64nNk6udyes9d-RK)ag zM3Q-6FM6|3-6U}7*`Ce_)egY|=owuL+$c*#hz?FjRm`%LN1ru8a{_@%o|d4w$v~?l zD^{@!{XIM8{G%i9_Znp;96R&}MtRwZWNaJw3^?p4wgfkRk0NX24F-|e8XziO$_!YD z(qA6xmI0Ufi<_TjyHz+`aRDq-;l0elIQc%TVSMLG<?Zbdj+al)Dr)Y>%u>tVm}$2k zQQKXq#SI5(&(N-)MFK;SL%pjQ@P2DM6wS=#U6Ax-=YIYA+7338mrv#d{vIc-x^!dG zQG+GxO4KFon@=+oJLm}-O@O2h^;fW5!nRH<x2+X@FyDsuH4QcnMjP55Ntxg7!tWo^ z*K~Q$vP>7Tkk2ZU`b!)!AowmvyR6UezIAOm0L>&~V>Y@~fWhFtzF*9sDhZ6rUk97t z3Ov_(xJgeluu%#y54uYX>hmJ$%doS2q2ed?jgP9p_n=MDwBvWXFUwC;TSrzSEtf;T z=IoxoZI~VMsA(#qG2E$n2OXQaZOCdws0r8xboo7mA{{WujUTA=uDLq35F;XF;8X-T zUsqPc9Se-@ps*jp@*aHq#me^Xb_wRR#^KcUHJ1I&aXFnT(({w=*NBd9DYOcYGTXnO z#;x5FP`RUlg04}$Ui17II`TjOMQ1xXRbKh*WR+|+!A4|5J%~*pUFTkYtR`eN^fBzf zrC+^Op7=?>)HURSYBP0v0)w2!r?-`O<T?%;Fq{FfluRi%KT^<myMzMGoi0J1SI@pC zh$a_-uLMVkyPc=hUQ=-my=GjGtGWNAA6f}!F@`Gr%M!?4Op&;;Me7c|nD<`LncCLK zs;R#5(|-OHdv`sRBKoBOWLm1JQ$7%Zj2d6k48H4|;oPAyP$zLS)z8>{;ng=E9?VCo zB9By{iZ%3rKnOGoPjnhQ?tib3-x-+Hwrf-?1(|?c&Hp5daE1k%tEd~n19EC65EhW| ziQtB7eTm4_nsfQp=*d$uUcE<s$1~_|xdJ-cs~kElg;iNwgeg9?Akbu~Gta8H2&6*P z-boD*>9=`6FKp*QN9lqLKo0yS-5MKuD+Fi|gxYn%Mu7{bm;uX?{yYvQMX2O7BJ$y} z2k2&V6}r7pj6B-(>v1cAmFkTDb^p|s^ylzx>r|pYR(50USyCu8<f425Bi`L4utQ&f ztA$x^`hC{>0@9=<75iLTR#oj8uAe}rGis2TFMZgQJY}haxl@0#M<bb0Q;}ju2B2Y~ z%dMAdJE5CrE=QVW?-t@uyoE^2Tz1gBZCq)c9`}tJ71AncghkrSCQ~Kv`mlI3a(kXw zjonSP?=3j#P$NEP4m6LT<Op_+<en55Cj^a08Ot&rEzGtzxp_Erd+vgsXG{eaZS<)+ zt4yk?(5^2S4!>Ax%$989J@~UJ3vkw{I{krI#Tjx25p+P-{4sMeA=k|`^`BG1c;d}? z7G%;>)G;%jCM(7NYQKEExrbVmep1V5CbLtKeO{>Npx*UU{*L_{VG+k7D@7ir32!Iv z!DBl&A<RB7;PkedU~C%k9gSD_$7Nu~)h-1&FAFHT!+WR{&0a|960Ae00SfCI@Q@&c zajiiA&0^D>hZRq&<SMQLqqjjt=pC?+dDXxKt97VMBG^CdO`lESizlkpQ5ZiJeBKFL zOP|-aU%_H?3PS7i%OW*761Qg)&x#Hnv-?n4xSeejO^IxL8jK!&vdG*NQ(V9|>L!X3 ziZCiJH_aWj<ZGNJLoELe2F%93+N9s$WfYhGtj!Z*)DBa{59LO0s@=JWw!-BZ^D2rM z!2%Ad2PWGuq)nDuXmbjg;n<iaKs8WjqJDfY>06?1EbF|{*6U*<*;{K0DFPEOERzd2 zeP(N2gAQhbIG2)>H+uv6hi0L|8H}z04jNUwjcv4Tvr}xuXA<GfKzUBhtJlJjy@&)d zt#I1_d$sUc0m3z6{D}rh(HfAMlHXQa>JeaYs><o}N}&~YGsnjZzcA91*m3BXD(8i% zNBx<r_dKiUSYZ<XzPOhpUfieHXV*}@X(9uv+5_<uSjgH{j6+$)3#{yJHclaC5Nf!+ zgWy3n6P8wtuJ;_kErahty$!Xq&EEMFK9Ywb!b;kYQLv+n5|&^BbctZJ6ozIK0@YPL z&<Hw<<5OH`R~G^;gY31f+7DIFNUx#yMk2qg+u`>PZn3m1q)0>}O~gTE7k0bI@mVKO z%^P5}1^Yomy{4)>klnTQL)P|HT9aq`EHhT+LdrOE1W=o(+|EkG$>fDv5-M!9+kf@T zXO0eK_8mbIBup#(G^HAc`&ahb_VK@=H91nV5M?AHQo5<47-F)a;Q|pJ9>WJp6g@%u zd7?Z9TlNv?F@`DyUdAx1-c2x8D?0zY55E_^moj_Sc!Y>(GO0e<7m67fOnYrfByT*l zvd#u5IWIOtc{HPZ1k5j_yM?{Q5W&Ekv#<cBHx1;qf;{-IxjOcZjX5R$(@3lP12B>W zci2)bLJE0l@id6GVe+i0M*7c`2kz=DKR|l{WhTB^%roGncr+eWe+)=e^J-CJOeLrT zM%Srzqz@}W*^Tv0!H?H+(s&^L$1Z|d+JMQqZT^vatu@XXTWjfWiPT6vhTQQ_l8*2o zNVEWsDx;Bv&qOz-62gWelL&-Q9!{+oOase0cW#!s$lSG9JYU?f>)$phZA7Adgg}QI zD5AeUcB2qmWB#t?^QZ6BG9>0-Qjck==fMz%?LE7V6>T99(?!Uhc$!3uAtDnfO)M6e zJxZ2W9@95j@%w}X+AtwyPVVPl=}jj1N!P3lX*$x4>tnod_^4W9b$bPUDiuI6k2M%f zwf=M0;LPds2NejD>X}-C=b)WM+{SZtcw6=}50h<e8YkGaR3kzD3j&<e!RcK(%R^pT z_+`SQSj<Qc_I8c*%AH`OSO#o3#cqZ#dwVvQ(~D#WsR>`B|MElvDQPHI{bVeaN-e=c zf8Ld@u$T2&^zO#-gnkJzK-+S5!u@jbE#H#k+A$`wCQVPrzAepec7?+C^#BKp)0Zd( zhS$NW_Odj~?x^QEF|K<epW9QB;7Glz09M6n{ZHNbpwDJQHb0g@t{Gs<j?AU;7<eBp zA1cl`KK~m_-bVeHR{HDMbZ^ly$5ZV@cC6nnABDh>@b%{<6`02+8)u%gm7*kg%}R+K zM{BDX0F%Hiqtlk8VEK5fTKtHl|B6izV(`s&pKr)7Zf1TyoA<Yfy#4zHN`tiAABH_~ zMI2nmDaYPDKYz{DIWquhq-?GgrHde(vt<&yG#h~uXo1Vpr!Lv$CjmLW1#}e@2Tchl zt@TlUV8P%^m@Z)oP)FXX0X>C1dTK}<z?NEBtqG26k<&QnG`TOZqeQ{mjHL{`pF-Q! z$UI(s*fU6qvUU|#0v!p%9v|K3^PJ*&Agupw$V_bEXZQ!R6JQzgy$X)ObS@4WUoO&q zIz%+><)pa3<Y%5~E@B7t<1941_|vwxY1JeFL8}?Gt3*=APj}_nc`i+I84)+oU`>;h zk6Fsb&w)vyUrQ-pS9BXFq}+ShdJn#%ReF>7h7CMPyo2A5HE3k7GgRRZNz*5loGCAM zPa6E`xBi$U0plJG)#t`Mach9@S5yFO(W^UTDWUm-4rM$hw`Tq!p8ifE#OS%0l>k0X z=)zW0$vl4UW(ve_C8*(ky(DmSw6+6<ON*OklhFAiw!i$?DWj!mkJqWl@!&bwp1bu+ zkP#s}_sYU&tXw{DwdzJvrtW+awuKhWvr?xn3*8(BiZbq40*=p|p>{6p6r=-@Q|d;; zisG0k;VlQztW5WQbtbIzg-?MS|1wQK7-v|Lvke{LO;%>y>s9`ggA~$-1r&i{%^vk4 z=AES*jm(kc+hMmHWBLd8%}5~_jT;0deW^Iw3$eIs$mR+G#H%r8r)<l^&5krnYd_o+ zOalnIg%(&4UyJHb8Pe}C2qYHq56bK*WKk?PL34kZX^2W^MXP(8m#I)$7o?YzAu@ZK z)tbCVBR>0bzS!!>SnFo_mQ+EwSCq|H#*+N09L?5w+I!}5H<+WyWt$ze^pL#~-7*-F z{a~|-E$KzL2F6K=KHc*Z?NaSy6OuvtL_wgO{t;9Q%;l;5TCN8Y-h%rd&*TIXMKT>& zRvC+Og0@vvRkbxOfX^UP6}FoPZLKs1LuPqh<(K{j=g*pJ_%o7t3lkY;4qOT~VAV_D z>G<~WK-pC>M)5y5>+`}?i-mw+1Ln*g;F|VB>YRXITWzD#{kp-cZ79s-*%ENFE_Tah z9<7*>;QYlK=AbQ*C7c`qh5j<uNJ)1nT$-*B0=4;k%M*QpC3Ix}D2?YRF(D5@iAkef z0bK7=?ow0xGz`;PB?lB<kU>!%?=L_df0{~|AR8I0pq{3ecrW(nT6+9GPed-b^dc0} zsQu7+NfYnJ+RSF3!H*Wh01rk1j?u2Tjm)jE#rmqNNG=jIlxu7v$2pto0N;x?TRx|$ z*<9qTv`|0XG}kB-Gs82ix}p*#;v?gCKGjetR_4&Jb!PI8Jeu6>mk+v0@bSLPl9HMw zeJEml72zcYBDa4q*uH<gQNkhUQW|*$Bk<V%=RmK-UfUmMDG-te@LUCduN3}GCD)LP z)dTKe1ehmq`#T=oS<tqgc+--()&*orO+sF2AId{$uh>D@3QBkJ<@NSWpK+kIFKzEb zD|&#GcHQ(H2J%F*#QR`+i3i)-b6{e^94AG3k~3O5%Qet{-d2D5&F^cm<@uK5V~VT~ z&-QR-Jfn=W9>)W~27{dGQgPWsQu8`qr@gM#pFS(M4kTpgwnkz?G4rn06{&a0W*n0R z`deEno6>g*AfCgJp&<xvf)xFYnVnal=wwhziY4XXPiM=aPaQK5nn~X%DRq@{P2PAt z`0;CYV(*%0nEg6CA;rM4Jq=E~4n@fs)x9<w?O&z$(f0>XB2bB~^XZ{^?@HUJaqrOh zuTWSaST{SP)Y$5N-*hK~%hR~wg6Rw0cDUE?wSMWI5;?l{VuW|c+`#9219y&5KU3YG zC#j%~mgEgC^;RlrLiHd5<4a0Y<7jxsf;}L&w_Fj6<i*bzG|outi*YXOXaNE`M<a?q z*8&Mj2*4c(^!~TQ_TMZ@ZUT?yrR&h!;PsMa+i4dU@<ADkhio^>Y!xTy#<<X?w{NUU z5HxOF6M}16{V0t4a)na*@X|`xh4)`;?TW6STUlC$yR<8W+tvjn$d66V_3wj!PEX;= z8=~NLA!+R>LHjF`&tTEw8TY4+UT|+r$W!uP`Z2(Ib;$4PmWVhe`8eeB{HOTLd41?6 zPh+ck&Q?OAF-dw5icSV$m#h6Hh~I!gA<iSG(RcG#{eEo|F+}DD@rDHD{9fbvNjF~y zpOFxSZLUDk-Tas$Wy`PX!w^%J)8UeqD|i8~G@lFR{v#PK{nnXDhA4e-fVUD<J1mnX z<_@3*%;S2BRbD-TpNQziw2%ss)`gckN!sx|b2imUD;&y^c_ipQh_cD|lfhyCd1+eF z_>^|e`m?f+rF#Sg6q-O`tIxFM)L1Hkvp+xo>N)I}UG@Fo&AhuuW55eW&jzMVi~~Cu zt(V?EZao;}xgML5xS*;s{vgQtV&kO?IVXS*Jtlhg*V7kRPGeQBLu!}?x=Y9THANq1 zEo2kt#pXY3eR<}reN=y*_UxI&?AoB{Z}8f^H8x`CD1~-JXensBPBWZ1do=A#+B0?0 zK=R_@-C9WVUicXlU3T{jI}tCxzM-MeSob)Q(p~&To!K)?Q}7havNWTfn1vC-cnY{6 z{gowQR^P~L5FfIHZ91oEaX|BzeU`QD?_Hi2-gfy3nYrR_hHPb$lvW4p#O!0P{}61a zTjFPl6tJ3W=MS!1DwmG(H4VDmS^;0Y2O@G>Sk~A}hj%CGgcN?WDl{5%w2f`*kPfU| zy|Bc`%+b*Dz#vE)Q!HF<>z5j9Fi>XnhO>%Rv!w+;EQWb=7i07&e>`Eh5N-W6l$I={ zC2)JWT5%>p?&5*cWsJv8`@=`s?U!SJ{<#}L>t=Q8a)Ead#7^b0nh6UeXJ-a)HLZQr zSWU!zh^DA@dIvpYS@;v16+iitfyKQncEFFS?w%p)nYt&BdUe9R4=1KD$pFBLi+Apj zPk^(O(?tpL5bJa{nAm%^R}Hl;G(P?!Hr_VV!W`tXv!Okh*n?moUGN5di0YlfrUsf! zFiZrb`nX`>;w3RW2Tic5pa}i}OLjX_f8`jK(aV^VZA;qs`?f7p)jSykE~gD-)9}-j z0jcW6<?+h`?^GY}02~YK6v)sf{x-Q8Yk@V%J}zbnob?3u$J*pBENeoR$CFnvxjpt+ z46fs>UO*0aB_b&KQ;2Z7%RhDzd2OSuvr9)W=SFL^p&Jm03FsI+2*!=%rFNVTA(oEY zE;j|1LMEw!)ATlABMNl}Igo4g7D`XNJU(&kxU`RuJ&8QDN*@D)3}wanRTGlEy}iPA zTZ!SJlEYg*t_MN0>+3CN9eWf+W#5ZGQC5XDrZVUsHe#WpVXRT%0Vhvs1*~SvWA{P` zlRU&C4a9h6yMOOc|Cb@P=Q7>r!&()qKbkD=$G}-i3c;|QhN1p9#E}t}5L+LgjZk4w z)r<_k=I5_J&ME=s-Y12dw;hGzt}Yw2Lt*E(va$q1mc{I}hu{^{3y>L|U%h8ZpL$Ki z+m8?gsi1@EU|?-5*rb|xg2XBB(03FDTQLQ-`9B#o3JI=hJIn0ujNvgDYLW8j$iZIQ zV2u_?#6_3z&Ji(dhsge;2eZjn=jXCl4`>6E4on{eKwvU^ST^BkSsJ*eIdf8b#R`hG zH@Lj2@wdcBNXM1#Wp!}vhUT5JzYWxCkHX#>8QTV?f|LE%_^HIEE^u;`RU6`94@XCP zdwXb0%TrT^Ho7f{KoW(8R8G6J;3HEeK*6&0IG&-EKRuBNw7$kd{`Ke9N(-&}X)Ku= z2#7`cT!M0%4;OqkR`wKUZe+PaEx%EF+GaQAK@&f?I7!8ic8Gawie&(1aNYgN=08k< zlsVLeB|nyr+rd(d(s>G30Ks<iP+L)^VR-6rp$2JaSKV)4xQ&sx0HOaDV>8|B=Q}o+ zxLA+3&>4-F6bXy47SmK$nTKpxoSy!7K<8GoNask0AJ0<l_`vA?q45M$k!YQF(n|YI z>t*l~rQuA61G9{jh9h`${t&x2!K4{0LFePpN0{@1qqa4+ysf=U|9n)aT@O!Z9Qn28 zqIyv&fs6T5mkf9=$uqg&3SI?=?Kb8oWXCl>h#Ze139gUML6XhxC1}cP=yV1e<yBMg zdEW*fkHYl$MqqAelJB0P@3R5r;?ARjGA|GP_Sq}Uj@6H<A5MJ^KI2JD15yWI&bfA7 zdyPW~q^jlIkg90PaXElZC__JgysPpEeTA3(mP+YLN(+3Sy<M3}d(E3ee56gTj!F@u zK<;h&?QBtId+@gH^pe2ni};Y8j5mR}u8ZeB!U$pFPKTUUrB*!_E&G9}t4lCZx!6#b zJaMd6<o22&YXat%SQF!uKiru|-`4W{GSlVI=5DA#q_dxSuN*F|Cb+biEg+)SpyZAL zc@~239|6HkWn=P+=<>9&<GGLi1usis{9-fJn5Un0tHa!+LWaLX#c?Nb5x)kfxr3+= z*;5}V)*R9x$<OcQC{|2qjULcuSoi(QBc_dAd-nv|D#cRH`niW%6fjhv3_?j5qMgD+ z|Iy_HLl!4cjP|Y)2b<z{!>7OSd4n1J*3SEn4uM+5(NEe$qWEl0-QKZv!RuevC$PHZ ze;<+y%-7=c77_w)ck`!ZcQS7q+*5D40+s4-({Pcd<Ver!kKS+d#x{kPrUkf`2`)(r z88TSHE)UisKyQ3m)ArcoR5IMdhwq4U(u|Z@bLU%)IKow~AbgnzdMqL%eIwiNjgbkf zkpScB)2I{M!-uOQ{`ChPwDdK1B{AVbNoC^fZG2o-^DM3RkNfDPC}Jx8YwKv6QcrGp ze{gIW9Zr*1W(7rBGDhfM4iA5iDA?y4yx2nLt_&v9BgPFLMffe2nqFoycs-1)+wp@` zfhbDiRjzT*e`raQyiCpTqENXQ9pS$GA`7%UjrF(%3Cx}U{)I<moRr4oJriw-^iSS6 zrqqSF@IwM`6uSxqXxq{E&)s;l5_>wU?|dqOI{OLS{aLXcWw&3&zSl95;AULt@LE1E zpWX2Y!5SEyy$N~{GZMKWC3!=^cJO+DjGXSlwjWHv(TG*YJ}K5(yBH@)kNun*D~kr^ z1<nWp9RbT5+OlU6*5|viFx7Rt`C*AexPRB5%*r5qB=r3E5qy1R`z#jt?HVI}@#e+s zyo4!Gkw`80WV2BNmzlVIlCF=ryxkHzv>F?$>yukvFL;&zL9hp<^rSCR$=p2H&J_RC z#Krhp8U!NBd$LKkNP@EK9a&%Rw*fU38B*i$ovxoC3lvxe3^w#g-8W)Dz^Yq!mi81d zrgdP2r=v1no`z9A$N>mqkakTCy>}+Nm~f;tUSgv%$`HuneE@kbxdOor>JfJ@a0k+s zfi;1{s6b8G&@D*Xhq5C((htn^7_n`Mc#!i2T9_GUg&$Du9+xoffUE)kFjY<=`YY_E zOcVCjHuYdx7T#|kNZK7t$J~^Ca56G-(zK*KB|HQloR^RgdM>WQlYsqdVw}ygxzon% z?Mp{>a+G*rvLEw9kyu*Ogg%-{WX3}J&BMyT0@jzzxYt&HKGUA!LHi?%{lN^6+Fa!z z0ci0UdaLi}eCUuovM^xfdQiN}x!IzNRr;#-1-}?J`^M_~k!xkCE;lB`Bi&O*^Rc#i z-6607R8GxmLXXJBBDGpo!WoVu`Y;9e;B!wh)BAf*Rf(CfCGC}i^TKvs#q?hC3g)+2 zjx(!Zaw~-vRwGn-?w-cuOoe}p{I-}%2dG0?1ry}kI>Jub5LrN}#pN?lC-42W1l~^L z?Gh}!g6ZLyXSMo<+;g#}+fR13(Bxrs9ugsasLS`)*uZP`Z%w!^&0g6^lbLKuSNvV5 zo&{)E%OlI$sv9bkERv6KZ|7hhZyRX`o;{`W@TpkeS-c}AweZwbdP6{IqjjXM^$$C} zB`7$_qw#b(PYXzkpLQytX+0mWII2ND$zgJ@U?RBdz;izm*k+U6x_h9!BMU7bG+YeG z|F9&R2lo|IDQ9S`9>(7LeuQMJV5+o0F0@u>pR8vz9jD}%bk8^JW0bS=Ls$G_&%p;0 zESCjUe+G<q20rlX3~cC=_`C`jVF0#t;CN?GV(fTk1JKmO$UI=}CGIbE++n!wgJEuC zHzAkGO38`|Wjz&~>U5ObA!Y`6CpbN$@|l<lwD<^_U2y}|Wgo&Y?uYwY{TJ2Vfv|U# zS*BlouU-%#wPROd)<sbCk>fqUD${{2ZM7wAsnds7$B%y4m${ouH@1vZ3$b_km8AG* z0<`mQ06sCJk(mwVNyl40qjA$;qrPV?)^Zx;%T}exUgDL$Q8%^<`gF=)ab08-ZQi`C zJdzz1Iv|po>R!p?Sp^NQ#aJ{*eCj7qL_7Ods8t^%yrcd7&G)#Q<oCwK!wm2x2`8uV z3)ad`HMWpSxe`j-``52O(z1EVNSzJun`~JlzTk!*eHeK$dP}^o6kHQ@N!ru3yXG(C zApLweUH*7V;$lr=c9NiB!Se5+!e12!quiAc>Q|0HYDeLx)2L><XeCPZyX8K^FfYC# znQ8B_j5EdcQjxrtU=`|o)=x5OKXSaMgwL}s$2u2D9M#vq{in*10Zy)m<w_JZ%&Kx= z;EBTb#$)o_W+xXmuqN1}B{!fclTzovfS#<rwCN2m&*&3nkqB&Du)WNiczgw63DzWM zKJwWa@2&icw|tN8iu(Mx1~~&Zl%*FH|6{hE`+}tDZ@c3L!kN@kpXw}iT&<hrj{jGo z^_N=nPbwTBB?JCa@5ut5ss!emBVC+hM*0faOof>*qg|4InuvC3(yhk*2%ljc`iA^o z$Ku-e)Q->Rwilyl6fL9FqO_M<iu>b`VflNH+gc(!CBMF@ivvA2ed+(}+x<?5h>ptJ z{aUYvZhD)FYJ9RG35)jYDBqWrEE$!Myqws|hB{1PanrX=j1FdvE__;B|0;%_0AnKm z)}VG^t?st9<~NcKME)IpK1L_1>Y21y9l|D4sKEO8`=qP6roLAdfKPRq92rc?lI{5z z&Gja+$E`0+{$=j+vn6&aKEmDrwDjS#TlcPo-St@7GX9oT9Y^>d?JVgn3*sm^H=&%n zvz(6de+9$;S5KNc=0eKinENd3yO;5(54i=>CppmY8<AjUeI|~9K#YJ;9-SIt^<IWC zdX!V#xSDsAUy4x)dh)Q&=7W42hHNS>gqc#cCSJ3bA$N02+*y~j|F0tLX1aXTk$nq= zGv2qIcaC+eI)p@o{c0+GAoT+T-(Bzg-l`YMZIy-Sm{WEw$Az?rv}kJFC>u>JTqT)l z$!hFh{&(lXM%1x9%c1cM7T*vexm3CDkIvX~P04wrJ=~SCUV<%JC42CdSEO$)a?O`v z^3azd9bJMJe#FvN5=%C^AVq{R*Kg{g(8axbn<cH}XLF+*jYVzUC)TV4MRT)Yo=lH7 zl1qpMp@KadDIXK4k6s%Zkvw|O@6nx9y^o|(ujCQegO2w)`(q8K7OO^l@&2ncTFzYG zW>EThOb^t7HbExOv82Q3oAm5|B~Wv>uWflU6t9vk{8*g+M-$X9L-5bLjG##dR|s3e zkpW5uW_OCQP{Uh~|C074K&&R$I|Zk+e5I3NgX4Ft+Xl(zBDX(m-_W<>hEr=fmBi$k zBjS}G{-u%#0dJS6#tJ%omQEnLQZZULM})#2U5xz@`Ev7x&F@?Hvh=tEy8~7zEZ9ct zZ<=O&^va=@i&=T`SC=Kve5<enWdu9dDD!PM&0**Z79PH1yaq)SH-v<jr@Y@1{cA=( zuB!WYcsfPrR=^?pMUPY_2@{9D{etSve>5fEWCS#;X<5N4A0l-57c>DgYhird%FTZh z)pZU?%62igT@tco^|Y>NPZNg?A&c4lmnnv0?>kZw)OIv`qqy+CQ-xF$hYo~^J+(5n zE6e_Ut9n=VU;Lg)Z<Xpf((?h|e=9R=i1K$pf<)rD&41IAPX3zYbn%sOEUC2yaZmOy zb+tqg9KPm`W`R(`1bUKCPseg`pjR;&%WPjO5a{f4DBl9Il~A-Xxqa`#xtlr-DZA5B zA=9seeogJwy7<_DobtbJu5_!pSG7=Yi#+DhlBNmDGrM2w+wRrR3F1TTH0uXza~aho zUzKA%bh9uHniDmjb<fg{bPFmHKxQifgK$wLbj=W_{ceI|!PPB&nZUqeD)MqP(!bl1 z^nam1^(hsWq1OEoHdsl=paKC)pi)L4Td#m+M8g_05vxm|X5saBWh?+s;}{L8JCGRU zfVEQ-`EJ|vCy%qS9gJ<I;9+o8N1Ci_V&Q(5r}3wAA{E_s3E_LrfD*U^i)+D0PaW`B z^N1$Q{q%)>@S891G=!*0@0D~7j4Sf1srDuwcX_6;3|gLK7s``{w#GOdl9$glskXx1 z!~2J>{|h!K%P+dR9x(ZAc!Roy{TYo;#~1e2$mCm|tEg5jD@h*Br-ci;29A<)M(rG} zx`}m=m#&0gd&$QFCLTI|YaG^7%FiZ0RnjnV;?rSG!y~(yr&AOM7M5)W2H&No{q=Uj zQCs<MMZdKEn@?I>wbdf_^-*B|Wo=Zn7L*H_)EtdobHLdDbOT6>FNF|$s8-<yh}`kX zJV`P`$bq~_2}Shnl(XE18tw>UZOyhGd6@ex-=N0t(E}d!6TQ8H`T;hD=G~S_I#8}E zZq27t$s4qv9u&R!y8Xh<P|E#LYXQ@i<^V?l&!PEd*M5x5<;27E0IM$_x2&MHlfL68 zE40;65WuXu(+tF}9E?EH;>F6oh;~)|{2&k9-XxYEz)tSwY{N$Woh~)^G(k#KL}RLE z4RtX2=a5z2Vg5)^sLZ`&=O-I(2`M_gJcGy2rLlR7EIL#vk*I5OuGDOR(W;V0XH0V$ z!oTnl_f<gvj8d*k=R$NfSqDVSg(*|gubgXmh3gRW6A)MPG=?XiM~PCoSK+HRE2olD zYKH2UeM6yM6_c&US$JRR1ebO{Oq(xaXAGyf=K>v$4i^3{VY|cU18thXYz6FWFC<By z)}yaU89TQ05U;l<EmQ@#s(k6eR3+;ep+cvsHTY8(@+1ebSiW3?bm$64vVq*fD|jOY z+t>u82mLTQ5Uu{~WiI+Z;^DBP>>nYeo$9x|EGDGU!x|%*nS+|8V|T*+A<EqQYZ30D zyaL|Z&m&9LCedwQ4@44!4UwX~cL!f0(mfD1e#iROtupaMjDHWOLEv~Z4K7)Z?KS-3 zXgXa#s9Raz3nYMNNLXGQOgHDrnVIzf7E58}9vN9r5bNs@Co{(u9hr&L*Ud$;w0Q_a z=bdeKTA6`RkZkjY01<K${DOjY%=6k)DT#w7hC&g7W&JIqxfJqvZJOrht+sK48|gE% zVeKB}4KL-7|2~!OY-wKqwQa0@o-=*e`pi<S5gfU<?P&rB^X*;Lww(z2T~P*n<W=^2 z$e@)W1sVfBM3nW=fDCS9^QBG{17QQ^nv;A3Sj!=#l2gG$1GZp%y=#L0?M8e3Yh|0c z{UzzCVVeQWF`!NfF}Zkk>*C9fd%NOQ&&L~{eAJw|y;(@YLB2ayuk_1Po4dzT^~dv} zEsdVA_Qly(n|GP@%)BOA6jtpR2_zTWgCpC>#}-luE|-eOxvu*D9JZ&_QU(-_skM%z z4}dVnzZ-tyFDv^tjX8G2Ndfl0xaaMUpu(NB(9K^L>F67havY3l32?hsITP_WrxeFO zIc#OJ#(j>+>dNnm=iR~k=G{Du6y8gjSBAGLaR+?@81Sv`E()@i)+%0m{%T0|c}31W zsD2X-J#Mn2hCkCosab(Oi#s)@q|P-Tpos-dttC@)yS>uh(fi?B2C|f8^2`mjD|?|V zkf5cmRv(}q@;kp@HsK_AdhBy7J=4&Evl1+3VXm;ZRV}oBHzC-J^XH;w5D0^VH^(*> zN~Oo(3SCyo&24pj8}r$NJeH=Y-Q%1R8SK=w!-e$%j_Yasx}5FHS`XdV25;~HBs7@h zer#tChh!iVse5ONu=!7mPb<V|VgP?|>XvqNZ&SY=h;RfCCpx*4{OMzXK3>#(?#3}@ z8T!chT-v4h;N=vX_a+RhPYQC+*m$=W*2@%fv!v0osY?+}ac-3w<PQs&+j&?R@umhV zHZ2xZTBf>l&2}e0OLgW)x!NY6$YaksmiZ&E!;`;0g9^c<b7%aJ9o&055r$-6N^FI_ zw%(^WE_7$&qQCT2>AqPOcdzJ1(WjebYRE?W^Fd@X>SBU{JIN~_-0@h(^~Dywj6tLM zm$luUAp0Q?Bh*<?y&Smzap=-f(gm3m$b%qIzeMRSC76Nz3#dO&Oa^LsKcQ`efP-bh zC@s&i^+QjQzCO(>j`<eD-J--a=eLuev@b`CpOEnX>FFde$br!>v)wQoBr)yIM;;3m zR&1hyN(L|f7w)h@6Sn2)0BiFpH;hB@^wuxMR-MGk!DvPtRIBOtVtGSF&8?x+zx)h@ zlTMcpfE`!{kbw#SKBX@fmQ8-Zx4%s^HgUL=JL~u}-zFB}ZI`$UfHol}l^IfE9kqJS zC2kS*a@x$dh$x*w@&0(NI+)6M(5>BjVexH2x;k^kG5%<3ELqMYmv8Y&LrHRe)#2-( z`1+3Z6a~5m81g;8jrSU3b+C#&qrdy?=bJ{{GBg~3jO#a7+I)r=+Q&3mt?_3;_<P`- zv7`v+3sR#aUz2W1#$bgUd5@AAw^Y+KT4l<bumj`NfeJOuNytTG^1Yk<m8%b*Dm>jo zxY5fL=_gPQIxf7CS^b?hDh6>fn0u22o0(l*$C@FJ9cn~Qw5`2WJ%4AgBd1@{Y*{k+ zD;S(8<<J@jN_AG$rXJhs+zKwJ&;8nzey8^hss>}9Ntepk@yvyxFWS6cS7!pM+kUSR z7G0c@J^Sg;yDtMIztlH21B7zFIY0a>=?4L<lh>;+r(d{-{!Hy<UTcer7tnbi@|iYP z#rwlTtUm%x({q`R6q0%hgqtOlpV!W@xId8FOYy?Lk(#b{-@Xm)uSiW!0ee|n`h#Vb z#j8BhWCuJ8T0M+gA%5XOIi(q8n%Aj1(n5^jH9e?T$?}B^*Eo#CnRM*MzU-r_7yW_2 z=m-0D1pdaFEwfZbn>;qU;y)}>+$wppRLyP;-~k`#_wQh*ZC9bPHSy5j35Ep!@n%hh zc;8UTZ2mc3xfGq^_X%H^SF~3=l0QiIW?kS;3xC*QEcIw8rXtKFlWHhi!Ls%R15Qe_ zJc(c-#$p~GiUe^5e!6H8wV3P*mNOurbyA8}CqvrQ+j8=~qTpAbuScr~|0u_cgJ_rX zP>f?9ilvFLj{9_CFKAY09#*V!$WC;%(Bp~$)+nY@@lbYGD3x3kQmrv?w7cx%fRm7; zVKG)P(-`%3Uw!*tqU|b`W`l@&n|!EfD1}s#!y<|L$gKr~8}v7u$~^WblxYBWiz?Mc za(bYM)QBwRi-H)Lwt2bP>-<;W*n7N^Gr>>2=%428-jhggO#&-SY`r-0tG{^zlU+es zt8|~6Ni^A`*!>-b!csy$+IcbQi?bdFkF{FJj(Cl`1hh76w{0T)!vZO_SY>=i`g7?P zbV8T#L9oD(Ds4jiYlxI~e)Byb#U5|;O5fX8p8gU=I>Vv-j)A&))OO~Shh}RK4$kR6 z55_@vbr+yC%-`cmzPiWz!)aLryI9ECEzc8}9w`ua$p#*%2SdpP64Kqlo3$_8FZG{G zwFq0*hOxAM3S#SxAXz@Z3-I)pXk08)Hp+39#^<HI*i$*%dvxh?X73M_D;~qe_=LYz z>4j``SsTNHY2s@>LHrOCe7LVmx|_?MK3+;~>so-#Y`KU2;Y8hB7hPimFGKyVKqQFR zS8-qoQ>j{9Mfq<(wnhS%eeOCCJfBJEK5W!2pI>2q@=~xg+7h!Ue%p>*c;HAm#X<oV zZ$d$eE6vM`M-&%_0F92LeeU4%Idf&}THO5AoIgPr5wcDOcY`8NRTCRl{c5EAal<6% z<lTi?RfJV*4<qk)Yb!@fZL1qMMzdv_0>LqE`a#40)Os{>QC`lGQ%5<DdaMs{tOB## zpZ`sWa`UeL(%ZA+?T{E5CKDlDtsZ9aaD>rBB?E6@?D6gdNb|@@IPXMOWoM_RvZyZ# zm}*@VtlQ`YW;Ac`y|7LDu|8ifB-Kc)xvH#!x@D6H&)a+TOmvIR5_KmVDmqVn^3i#F zz|5lvx=z*7ki(xfqO;;Gu@du6I$sw(aaca|MfFMQ36G+MU{+4oq&Gg2rM-p}GS0=c z6~NubsI@i$gqpIF7ak=BJfY?IH{{lFg#0p*d6UdQa^ld?Un0G)=%P-ZQFQ}!s5ruY z^}GD<kjH|7cC<l{PI9$dy2C&GbA816+8NR0At9UhZQo0CXSVCC->I*EYx93E<Y$-l zquL_p8?$bO*|kLy2ub1V^BgkWH)d{E6P3T>H3Du>1$1i{(HE@_PqAYnb5e9BsO7SB z7_VJ$y#4v%qB^gZ_}%NF#D7j;+_P&6j{Y@2IgI=yvj>dTLRU(Tj;K5dp~d8q3*7Y$ z7LwV9S%oDR>~fyOKWY2Hc9MqiNg8L-Q;poSrP5pf<4FE{t3gG6NzCN`n+EuQwh4^H z*;OeJpkIC4DJvEE_pZD(yqYwh3gcCr`v1Xkb4J%%P4^<@S4!3d2#VZcoY%cobGNwP zDY70K+&jtCdV2pq+VTGYh&JzrMZ%r-be-!_O6h3uE57dkk{Y?eS8$+K$Imza@@TqT zNEw7NN;WE?a^qYM|2r&yM}-}~<-2l!lQN8n9<=3{Jb9=LQCph`HIwbvDWU$4il@Xr zMslq+GDhPv{1*GmNL1my`TKngVGbG>Cvfec%v(=GmsrPG{s#2Qd=Pd)-{RN-bE7JQ z_y4ySs*cR7C>-`w@ct@0EJldQa|*4<f5^}ue+9$~B^Bn7=fBO^ZnJX)B9kgz&Fu2z zRK0l}qn0b!gvBR*lF`iW)jI$5-Y)gURr4(3m$w2qNFXz1qQ$FaZu$2&MZz?{CLT); z__ojLj*<Qc{Nu<ayOuhLqtB2)mdhLbbokO2@xz9ySsp$*HzZ;y`|TEcsBrk2LMr8Z zQYGd-%CIfDfftUx<OF%zo9RDJRY+R{t3+b_lB&F3-uVmnQ{=p|@9VoLM>peI52M^N z8)2n21sm`!i;2#wJ{l{xygRQ(C4-BdCH3DAr0+TJ{S$V`9CBG`D&|{pP3uMm*Atd& z7mo#z9}aS!yP8gpX0u<5L}@<8|Ht&>vLU^tnK>V(-dgpt_YPHuIV34UKI1wE@%!*K z$bGqKO-GDnQkN%(j_hA_Ujj=QBjq<@0i&<-MlOY~ZSL)_fNyTbtl8?R#~atoxc7f? zW{C`8+4q}&3(M$DMpJcUbO!PU<4eFWlcj3tyPJQl_?{_D@;W&rUwh@<)2)A9DTXC3 zZ|zD+okIA@LaCh}|LrJ@MS@*0JM8Ko|EkWo9Y+zye_PY>_ZeuowGXG4PWglddUdOJ z{!P{WK-%{IK6n{7KmIuN%Su{63oY}{>R|ty(7FomR@KO;s8T7fdcOCLO&3!~`kFIX ztGN5G0h*k`?op4Sn<Bh850f!NMFKNk<@!b5pXHgZWk1q~pL5OoZ&kimD`C?!dc{-m zoD|^s{HETOX3IWogk<^87201~xH^f;zZxyg!}X9wD7D?+)pzsg-VYo9*}D<4IbRdY zm}3)v?mnyf&op{WVQy4(9kRiD+Dj4fu$0M_N~&+NS6_nXU4{7mt5zt>l&f@Y5}3;W z%^J1!;{Q-})_+m7@7w>}778j#BLYe+4Fb}o(%meL(%rE1+=_s7EFml`(z(PEOCv}v zol7rD_sRlG!^8dh{`C9>Gp}o|nd_MIJdgL`YI@-UyEeSAc~BuI>duq<{=bk-#aE-6 zcLD;}E^d4;E<;w~Crj)ksG_Eu$i2ghBszjTm&$(?O@*{qp+p7@pC8=osdP)=|B2qX zLOBr42>ZLt9YyXQ4-S|^9r*uS>$(3HP(mU>=nmb~leSA4a4&>;9j8!m*L34PBi+9} zVRuI#Wc=^83J_z_uD<@SE&ueB;!mCn<y+*lJDF*%pb5+W-aHw4V;1)_m<h#h=!}1R zy<Lv<B#FUJ5l+dmfw@lW(f?iOryUWIbEez9qmSM*@vy{GMEy2W#!(*`+?dZ3xzrXe ztjgVm{{&k*XNA`?ADEQe?dI_i`!c20vK~l`y{CQn-`3tC`tjUF_*3tCr|^83U))2# zsbDd8Cm%_YYCUS?sP)`;p*tn$)8$`AJOA4Y`)@yr%O#lI3AC7dKd(+?!#*zXxz&p& z+VxRt{g=?^mL5Mp&U`j__i^Ll%YdHYsVN=xCRUEGud4Fy5$I>fwll!O?i-{-u$X)Q zsYu>e3D56{Gw;Q~#E#aS>ri=^aQc*OtDL=TF~;*9X@gkD|5ifD>L>3r_A?2st)8co ziD=H2D)Smu>Pgi-i#eSey*%1G!OU;2Qrb$e+TGYV3}&f6imxKCU5gC?R?b`G*hcz6 zQgB*ZouNLQ+Z!J3noF5m8?Jg0&y+W4KMz38>FmxW@2Wxmd%`l7_R&9&4(hvzWJPIW zY>&z)SEf%?y>5p$yl9PXjAPfi$^YA2m<@+mH^OFi5zlp`^YJ`A)@T*RlQ(93d&srC zdUKs!vozE~Z(f*v8+@=D3)F8;7(aac^cHFsYDHpvCv7bLwn;8YCVG}se$r2BMtXiU ze4JIZ61D==9o7-*du$d>f}0UTy3~L>YX05T)V-j(jX>i_u$)WdT1Ov5@1BLYw^^ zj>E3CJ-hxmS3Q%;;f0s^J3bYNx47l{t_$9UE`cW86PkaC3x&lUx?b`0)8^NEcOo^? zfBB3fQ}PQM)q!wuz4+Vfb>})rS>2jGJ8J<-$Lsldn$e#86nSFf3$}tQqdK%fGfA6v zsibrLc+HC!H;HO>EtZn2kjxmF1P++q+j_}2-tTG65);opZY7mN-uI{uQ`u>@7LddA zhUl``H*M0BB*=M5VdkY+db5JN&AM)GPE(FQ2uZvWBEU7ojFTp28QR#Ck^S;<u~}_r zAC1wWr*-l{y!U&nH&Oj(9tmYIu8DZk2Q$Ma)!_8ZEJ#V>u)|{|bjd#siX$2PS1It4 zIdypwG4V|H<Ap`J+32Qd@q|V$&57wFTfT<f!nkF+Zjgu#K#a8%z1lGO;~Y-d)&~eZ zWnSK6fatZzY;Bi88Kc={x0MxadmoWp9)Wa&c6VEe)hJ_5I0}SCdV57g^w;B~XHi`S zq<A~%LA>iR?=5KEf>CfjA}U<-=94@Nw8JEs!@sc!oUJT4YX5&FUeP;gA$4USR!z`u z=SWbumTQq8vjw*~^&Hzi_S3~5<u@0uK;@1q+ttGlCjEeyyCwG9O|)=5bicMuBSzrp zV8U3nF2pulx6Gy<=jSq4QM9_<n_yO?eD^;MaQpk|1S!mr#^`7X=1M8bT$|&a%tndx zke@si7Q#wPbLVetX{I?@^RezZ$wdWhPi42B=)V<@@$2_CL=ITi&+YNd*xU!2buKrW zOY~inA{LWz4dqfM_4QSP25581b+kH<?-cC)_ikBM1&-A%kz_0S`7Sxut3KXOy#eAp z_6uLPD%th*?nCpcb+$|SC?z(A=ey>{;bwznjiT1Np1%v5#o@c+|I15`N%-*o+P9TQ z%IPO|cuCcI<7ql7XsPdr)!i2upS5by5pYR|j3|-deejf*K56W~cn44x3tjRCR_zr1 z(BneO7cLfSA5%6j7ejp`KmS`5xLZ*!T$Lx7+;1~Z9JlWHLoHSnCGMG%ERNMiV=0o( zWz%cB?pwl^X{iT$*L|{lWqZCoEvPzW6)_gqpY(4`dQoq{-$QRKJ}n4*WG!kkW=Y80 z-85?;G9*q(4ItT0x}V+@*I$uHjXF`Kzm;_Mm82~??}H?aedj2N6@0@YbA0rJK6dPW zxO+bJ=OZAJ(K;J;-|71wvp4-;$)LqaV*>tXHRInF8n)uHT|_PSem91F)ou3Zu5Vdp zG-L0xD*4>DZYt{S<f@hn5TvmV7F5>z=b4Ym%-9|M*U)jeqEDZAYQfRq*0n)e{qI6q z1gpRO_vtI$0#=Nxj~cnCsp6@krg!<0LB36H78VvV5Uc999#bamN2@nYJLyZ<t@8`8 z#g=;3wy*F3Rk+V5oDi!-#BNofbMV!%2o+z;NjeT#-fEXQSiAw+eog5v3f}{PR0`aJ zo#;Lf+W0^!Lm<s`JZUw;iFICLo-5gXu6F&xVi|lecCmt?dZ-7JaP^5Hewh$bJpu0v zs3X@A&NP9U?&v9stgY*9`FmP=ES#%sx#~SJo|R~JNmzg&>t{VqB<n!+TGNXRXA<VX z4d-L=>)(CLxq!Iu$+`s9)G{M{&*I{R69b8z?XWUoNJJzRZL4u2Ji8zSW9izHLYX$s zOY99vP>sMB7femx;;L4<yh{tCJ$*A@2Dj3)_3SEKnY|63&kelUsh^#<o@*SKTXnUA zy4<^fr=UDMuP)BxVu<IMeuotVyHLJsz}=G+!dG6H1Ilh(4+F|v|JI&49-Ta>)ZYk< zE+n-Hd-pvh+;?81W+U6TEH@0F(vJ8}wYn3vy7~HB)7896=H;M)pW=tB_hpd$JqbN@ zajRV|VyEMMU7Cms$-J;Ib$ZwtgU_$|SjCpzJV64u@u&3fY&wy}g9`&+`p?*7s-u#B z(&`0;j*T}AM~mP#V3+D{oF(r1Exh*CLCrvm(ZI>ljGE;~TD`bA9e5lY5u>9mH0n}? zm=f)wH4QqJk>^awvi*1hyT1D)oc^`@Cbe-{;TC-61kLR5ewl3#qx?U{v@wU<PSkCm ziTYZp^2M6d*lKLh;#OHuK(QhvMf|{`B42|HqJl<6g>hSTy2k6^!0x!TVoHm)VE@eT z(kz)Mpg?5ID}Z1yR*6aSTh7MRn*-dDc1tI`Ghx#C@P8gU!9F@d>(w8jhFHn(n2~)y zP2r_HDmtxi7sxKvwfj`7VW|M^0n9NM;YEdoSa5<@tnA312a!+wv5-!Yy1oXS5K2-C z`toMqZZ}N^k){$~uuycez-@IH7Inq+J5y3VDZounN1P)yWUwn)u%7fDKKGYg?%adV z%HxN><1HFo_{bg@Z#8+|GVC4n?C*ZUAGzY-uj49YTKwx$CyNW1sNvQ6z<PhI)a<W) z?^Dd_I(5*2EI;N!;VtMw+z^_&^;H#U30>dW8<;5ywv3u5Nis?M8J4}EQqPTWT36KJ zXdG2%u_O-6aZv$bEXn@325IYv-OE!Yd@!nWaT{NEEu~FHQeSG}OvVGH4|Y>usyaj0 zrX>7*(yqo0Mu-or%?QCjo=Zj7BEPh<rV2$p9?C+?rf_|Rr+sWkn`Q01AtD3UQwvkw ze_Xk|rp2RQZFYP&4YD;KIGL|?RQ^rOpo>aSYTT%xXV`ov0Kv(VotOhmy>IuHPxmy` z2>J5iSypz2eX2)ZA+|(E{><PDNZS0H^v>=>3KH4^m%$Ta-4sQD4wmWyZYlupk<tgv z_M6HSOn!NCG)YT2IU%CM$3Nka7F>`vM4BZa6VpaDp*obfeEvfHYIU&i=@6k|OQ8K8 zEBS~(>!cYZVTO3MJGr&o?!@O91M&<gTzgsaJIyv=sV??*$oa&4@3%u{NDJ5nuctjA z?X*6f)f3+AQ*;cZe;A`FNOc+*__0t&`gFR!@FJPy#J7?eqm{gJUQS#WOEsyLIVZvQ zaGP;8R&Ok#5ea$X2G-q*@>PACl+9JD>q%@Xo*N~ychZSg@XRWtE{roZK<%n5PCjOf zYb}Jt@|C*#c91OP)>g8ak4v;x7sgXx1y~pp4uzERYh(fX_B_F%pbEpwqs|l(k(PCy zZV_|uwI`KI8xT5+i?TA{?6c0^fwi^#gQ#f);XS9!6<e!g?98eNwJkN))&(E+s}-gE zr?Ns?50Y7aN}v=i1Yf<=+`0W=#xX4F*$vm4-$93ve{<HUr1GEOcb$GHXCbK!j#nR- z^A!jQ83fGIj(5e)dDJQ>zjS(>F(_N?%go}+C!59IR2E|EpcnEtJ1;IgaocYyA5X^c zO~(dTM_$eZF3Zv9B`2T#!5)8l_Vx+d?o;4Oi)^Kogmgumd`%#(-F+=9sAKk=N6NQ6 zB)~woXd`e6-v@&AQfba{HDKpgw+?$i)V`NPgFr1$mikkv;Jsz^P*DmSAmtnDf?qI~ zIb2vtMU}Z;&hDk!voOYz<L0{MB@_Z=G+vCD-Rhpx{(`R_9ci*PgW^KhYN59XCZqV& zgv|p|llJ_}QF=V?ST+(YdecNMT)!KEAwSa+R$=wT{gtKDKcPb4VlRzXiAqJ;&Rc#1 zL-J){_>1_Use_YN7uL|#meGqb&%jPry0@Hbd_~J!m!6UawLu@e`);P*h~MD7*~N6A zRF$3n0m_!xab(<T7xhLMWm^~n-1khDQAWz?a0;e5V$ODp+bpU(<ckZcvlcx312Xo% zHgcPub`eBzDVdwyX?t;g6&3S?NzENddzd^aA6gIBUN1YGkIfk1T7ro`Y<CninA)Vy z*h^X5ZD>lz`{HBC<I+UCnl<0=`0829`YsLZ)Ou-*xA-|^44EV8Jd)NWM|5-U<=R9W zhj}!y%v$eAQhs%mCU%o@Sq}41S?<-|D0rZR?q=>NHq}ZBD7>R7j|yEk4g3H!K1rR_ zE#VpX6Ut*M7``T^{sFY*l1T$PK(-(AlWFp5#^gu%u9zy9+bp}+p~{)Ua##Y9j$>zd z?uKJkR-VQpxkSk(zMiM7W5sl<vjxgaFisA{QOmcrZu(QcHMks9?^*BNNUD64hoo8; zi&Vm6|5tkRceLx(RWl9x8>)caDNMIHMF}=z+y3RPo&CsqPVLf&jo-w8BR-0h$WiZl ztv^;Iq%Gf4jb`af>d<gw#s)rimsudkVfvm7_R)vNfaI@1Qku0lMiues!cFnw<m1@O zS*gi$r?GEUBx+0pa?KTyElL_)U5)ukTr{kG!os$(e^runQ@x7^JV8LI{?nb@Ps-jB z@@?W;?oX0KQzx14PCw;Fc&-qxWJ4?Zpg*2!>H8*wOm=ef+$pf1U1(HTk-Fd5p12EI zKD#G%ab`ypFzQGtdsCld-2xei_!-<B`r<i-%p90C(;A#2GA61`xVBzZBTML@Kmf^! zdKwElIvSp#8fCG4q%45hQzt<IDh6!~CGmKskjZeIK<jelfapQpP=E9xP*ln`wou2U z8#+1-f`7?mRGLm1G_!p28p(aF=KMc?-PwCd+%Zg9VP8+9BFT1zm23V`Hb<IeGSJXj z)WGaTs@k9vnE!1r8aq-O0aNzqN2-c0(aGiB-sZf*X)@aT3X?#iJ&YwaIqTO~jqmyF zbd1mcRyS1Brf9Yd;0}BdK^=Yy>W&fvdM^f5(##B>D*tfqxQ}a)qt2iF#;>ugv|2K- z>TSrYy?bF={-<>*`G98Au3U<F7}Y4|P9(k9K%UwpH8$2poRz$rt)lpz+Re_&n2IV? zoSJs={@zTLqIV<UQwf^-<`OYZ$h9$t8>Be>__EREiEQ-am`cotP<`HM*7?NEq()|5 z@}Z<yS>>C0tmCfbmBZ(frmZ<4xnbmoJX^`glD|{iM62G;pyXDNCeg*!&3o~UOy#pO zGL*ZTax{NApa&=Sd{0-mk&Q{YVt?VbciiNKA<6r=W8x2R=pp{jy{CO9{L4h2Ek>NC zoT|3ApONcc$L4J^(MFGuA){ODYu(USG@jG{Gro^4r|F`%U>#Xk<jqA<#zW)jsM(8} z-_h79z@-M|3i6H&eY*<h+w+}se&b1<j>X;d2AB6yr#VukeUWJ!2&H;yEv%8=`r>sq zFQnt)J*zvwS=q|X{2%t<g7<C7ToN37g4w@Q|Mgmq@9Iz)G0>aV&o}wiH`e87O1^{z zR}*xsER&Rl_=*PM64bR0{vK(U@5agZ_MZj_NR}T4?WM$&)9N^C&jfs~+~^{WU|mGk zpADx;2}RB8U>p*YE_&dJ|MsD0!NJr|LhXNak!ef<sBNZ=J2s6uU*JjeiMGeZcxxN% z!4EAzxC}S-*@)Py<wQf%H}lvWSQ3Fm$>YNWs~<C)<b}s*PEJAOk75<DUoY-qxVNb5 zh9H*+#lS^1Uk_KaR>_;AT4V)rld7`tXXOtLOXjTTy>6D-Ea%foDf;9OZuqYOBSe$W z3mABT)Xv!)uvyS3qx7G6Q^+b$q&MMepdEhnzBj_jh5T#&%MYn$SSPBO45-H^#h+jC zsk@o0_&j>!UJo`dI+vJ7q<rx-60`oyNdBbl@aQMWSu~O!J@;FbEZ)x^Y-Jv<J*&4= z?C9z!Gv65*F4JX;yWqkK63Y~lYLuo63YLL^$jh&=(Pc}c6J80}(MJa(jhsQGF<F$O zzyB&`En;7r>*Vp``6P5FY$OwVv0cOv5`8^r(r)KqbT2h6BGWDPiUq#;9G00baXF)! z#`>d0YG7E{(J)zIkX%eAA*gYiy{K21qcj4usK%oB2DVj}NV#-!DIpVdJi(S7jE?}8 zuIq}fCPXAxME2VV`ZA|c%`!KDl-~)=pu(@a2J2*V_(x%2B%jZpP>Z26N75g*NTK$# zn|gHv3EYbw6HQHjfce91iHb(Wr8jMMXe2afgXD<CHQLC|g^VF&x2X@ri~%KyTZ<4X zce_By6A8No%r>QnxV|y?^Sw-Uz&iOJ2IQ#ZjGySOl=QzUsSNg7lagJ!pu53Wh_r5{ z%bbwP_}$dpY^R;HE(b0-4y^w&!=EW!oer;yEFg=AhVt<FTkDH_b8oks$?RDyplv1P z<%`1XHHHj%DK@>-y^OId9*y0bjb~P@jSprQUdliYnT2oSx~()z1Yz5NqD-Z*#Zj)x z6C={JkWy{ZHu6K1Fm0MUqqEMmL7lLX`-r__;IG`7uJBue??%!HPl&JXBDXLw7VVwq zYW*g7IW+bKkFERQek$V0ykUHA<V1D_U~g$(D9+=kSR3n%Z1mqRDV7JytXl`xqu~~2 zO!L*PjP{e)#Um=+!i|6g676Re=i~D>yLo%Nl!mQ(P6am8=;U>%c&&q;xaiHlES$;z ztJk((L9&$cSCUueWxr;GVDHpet<2P`Ru$ecL6msidI~Y)Sn1JAF(=|$9mTy_sOTdD zF=dDb_?%36+^YRZ5+;N4{Ts2Cbal#8Mij65R*Qr+P~z0DB?K6UQ?7R1*JPpRK%0TK zzMI4w?!iKT`An3p4Fr5RRC#{lW@JX5+93;H=%=*Hg&9UBACoLmcki~!?J(W^&7hvQ z<RPSGsjK9O+0Xl2DB_ysz81M^Gh0Hx0BN2F{zXiTuxxgS)#0uYB#t7Bqpn?@LRneN zqf~;Am1*zn00`*r9<u7Su3P0#uXpyJ<qkRhE?#E71g}?9J{bpjF6@~tjWl`v8R`SE z#k*j)hEqhSC%X#k(4Avmtz}Nn-p0z9x_19Nzlsal-ExXY_e<8%%&wMMq#TmkLA}Ik z&@<HMmgJRbD=Zn!Dl$|<W&$>>UTFbi{Kv}a&EAcqK;y$0sk}luZBoWEWw+F4DHm#g zsvh=B*(@PKlP7pl`}}+H&IN1%D;x2p)4C7D&v{q+sH1bH%J$XqdEUoyEK=Gvh)P7# zdx&o8$CN|UL6R~ulW*L;YpJpqWl#&lCuuC*z^h_hCF_<+DWXLO%)WDxS1sdfJbyYG zIbkdbj6vl87~~E1-_>q9ts#u%A#j4>oV8zgn%_gg+sG+%6guDj$go|bgqq}QlJyOK znb)sHL$g!dAr_kZS^t(q{JeR?5vDtvYX25o_}JWUbHBq$SH>j&qNGK!si<9FQ5*b( z)W)+iuV$WwoJ;A__4tYV*?7docte1}7-AZ*j&7C_Gx=u5@u(s2?OczwRhQ~i-8va- zYsI|Ick6*ivSiL^9I8c!=$hLsLW<@qCBo~lMxBDXKRX50CdyYkzgiOcM$e0cj5+{m zJ4_sbJdP1(hKp)4^hNc7bVHUE&kiu#sHw2ENl&FaN#tL7+bO~g)TF53v<BM~yw1SN zETki1oA92?X4_UyYmax^^yL%sIqg!m>F4};0))|c{4S=?f*sHw-tX|#G0CWFKkwK5 zX*q2e$Yk*Mj+24W8I2>eeqX43UIDCp@+&Wj{rPYe;J`LQ_4SFwOJZE#V?22i%zzur zm96%o+O2iQnXJ^?n2DhIAhpPb3jp8*&1A&>#h-61^F$YGmF&^Fsrd?7$ZREROv-lq z-L}^2Opj%LOK5WJCS7%`kml@t%lZcuU!2&P2xkHv^kQYQZ?{ps2jeaGG&uZe#?wX0 z-F_R2nqWVRh&Z}YDzQ<#*TCVGOS!)Up>%AS<6s|Ny;ucu*u!GwLHAg9@qmrhss()- zOGanZK%ED*r&CRG1`i<Nq^H?0o3I=tMCnkM__AROBE0kUqs#hsBujL75)vKqQtmTL zhV}HJO$>{rIoUI@z}hH=zkDg3%w!e`#g}9mbf}GRZ}4u2^D5t*I+qs-uNmQJ)E>rw z(4;sUov~l8y=S|8@Ty&;ABb_w@(7>cU7Yz^oXW0OEu>)@L1^~G$eTPWM;o$#Gj9bc z8!ITjAF+RK?%x$DSNF}7usEu^LwG-}?viZp9NummbkZL_TlKzoNqWbTv9ErIS?yH> z5k2Cdem(M`f~C$+aTp6=toWX%fDE;Kr%j4y0>8R?`7LqkjpNj}_kd*jv#ZXS5IKo- zQ)k}m6CNLCOZMER#0G1cf)A7x{!GgyHkuDrwBqJL$rSI?UfxzuX;^(refQsg;`nXB z9kxGKfJ^L!%70FrSRPILmv8<2M=RJ3cKD+5Z9P?S8EY{6!w(#()ZiweMe>UuauUOg ziR3If1*vi0)N8q#m`ni++upG6-Kh9(HgSY0b6Q`+C5z>>E~gcg*pV4udq9F^b}TV` z*t4E2ZngW91U2D2VAH2Q>vfgv<dqiPlVD@XxUcR_OUpbgKdX$S^yEcj`$vUo(lkB0 zNnMaWpsI~0aJMuxtxrn+*EW7f(51A0A%`MJd&0u=3NdFG1vn(>-zn)cq|l^}T)v-Y z=KC?z&O_KcXTYObZkGMgF8llFuXTe+v*_R}ck5IAykw1O-Y-Tz=Kiq`<99XZwK}`P zQII=%&w_1Tl`9x_$LqEJ)n`hoDvze%@r{b6P|W{jJ=*XhW}b8Q7{S%m_Qb@&C^c8s zWKJUzxsT1RvjZTOGKe+2wULzb{lh;`TdOo=m@It+Ua*My8?m$2CsIZbf(FEycV>8I zVxK%37@G+niYou;9`aJeW<|9WDb~{$Q@du60u^!WW=PZfF>FYC!SHA!V!)E8j<asP z*Q|Ct*rHyo_<q8e!AgQBe0L^Mru@kL<L;Ztt9-5HvM#`Z`k5GY!Y{=XuHrF4#f4A3 z7Ikwi?s{=&Uc%q$Aj(7HKbMpDOo_XNua0|zc2-yQh@%+o$qhZk-lrfW)3=}g#NPi? z6@AgmyfkcsnKG!ghGr$4V!uTjb2-yMY|Z?F^tax{njZdZ@z{2K5+)mbJXzjk{?%(a zs>n&ga%lzlU4^loQhqc!lbrdq#HUW1dm-P>#+;wayvozbp$AV$jk7n3l3E||orTJ~ zFVh7QSTurmx?MT&X_@Ikb@{COjT0ZZSfgK58da0!NE>qptp^7vNxeB)?v0@i`73C5 znRvgMAi}nvR5n4+p<x6QrP?ZQI!LSzCQ`V34O{_2jO@ekmH=P<%JK@oUtBV0qm-VK zMhtCxcS$d{+in7bwy;w+ni$2lbF97*ssBjAOUf>hVNru>|5zcNk8r<E2F-no;N?dL zsknAPxxWAVZL$Dqc`^FSxu&Lc<8|K#_T<`4>hEuhknKvwz+IW(iye!=Zp}r%nyExm z5o5sXwonM$^%B;0dk&+}8153LH9A9cR7aM_w;X3MBh`++92ER{*MlB~gXdSGL~0dJ zXFZYzKdJC2n=Xte@zk?@>p?R}KxRDzkk@~SFl%)sc_^u~&&w&<!Aq1&H@`z~b_;;3 z?<b3HH@~K_{>LBUoIP{)+)|)*!ei$hrNHDKQ(}<c!pX+;<i%Raq<UgKfPpGj?q$GN zM+&6YkmImoy}iTB>f5wAw~$1gC2g+$uSKi4@8Sd}yb+VpH1$-HLE7S}O~ix?ZW6S8 zn&)H*B?ZrS;Z=5@BTz}nan8BJE>Y^jQ<+!l2g$sV>d7@|2xfgGc4I5>-)<N%F5KvU zh?;-NCVx?uf)su<ZG9cti_VL`Cb-S74h;d9inG$C3k>uHGcK`Z^slv5m^Lw~{Xf1# zO@8IIrNuz48f(y-vC3)W?ZGhiKRg?<y=#>{Am8N481jEidWEVZ2hDs_lP(U!KI*03 z2Bdc(9Fu90aXm30GyIVF6F1-xWNj46Lv3#}&3OK~JbuBKKA+p<aX%~TZ_cUDO=A^h zl4OGm_S5=N+2xg)koJ**H;Knd-DjPO>(o!Nr!hbN@<#$%Q5N75uK7QqZe<Pt+S?jx zvI+qixr?&y(8Lcv=#a%XN0<QY{ls_LZL{(xxgheKi%He|XY>%BBtSFBD>8P80b!z7 zpq2HIxb5b_@hG1L$IYl&rA4!9)Y|5`^(DhV4xNY~)SQhn8zp3NvIfc(7ZTx2`_|)? zS>9=$mOo?#DfO~jv3NtC=zOsP%)4CFX*kW$!h-y)yv*RC&nJox_!eH$PmJ@RZCkK7 zw!LF(+x1OSgEUcJV7QxZ4~MR9x$v+on{CnUK^G-o#FjPDrpKl<NelOjdTf}PJoL5A zlsqrabUbs^tx)q6(op#m4cJH9P&BES$TYl(a&fU+Z0dTRMX1b3l&x!ly&=?HaL6v- z=dp3xC+q8CoICos1CNs9GRp)$t-7ss9iV3ISn`8fhP7J(geaSKr8h3sx?UC=c_lQ> z_KU<(J>Zya3x5Ak63ZD{ybB57zNmwp65Xcx=88F4mK?mRqlO&znE1++N{M^U0Hz&^ z*jCom22nF`rQH!=Hr}mt<{@8)yZ&cCmf?5(F~Y$T8h@<rkE4Kiw@x;1Lkx74_s-I@ z5Y>5e-hg5O(ztK8@nZsvcN({m!xfaLm?dly&@V&p%34P$V9em_!OHS6g%uE1r)$=q zb6FI7hnninc+guG%$To+^%?%iW%6?7@=d~;3z9Jc9~#~zd*(*X-Sko3Ul}q?k`!!y z$2`+GII}8}F!D&8GC*%=Ho41)J_li?A&lp)1`rktxqefnrk=hcLK`jhTm^nIh-n@x za@n)Su5+?y(mAOKD|rYzMwIU`q})`YbFezjlV^cNOgWPL1089p4BDEpXiQ<AQ*l+# zgkg`X<X3;Qe3F!vOi?<Wh)2U(g<M(C0_O*#FG&=KtBVUc<5cbi(0kQNckQ-|Bv#O} zz)x|Vsp1xLk~NWk{Qswec{$&|veCR#He|Xje}jO5=w4Uy1nGJ^au*4kZX5G)Q>Xl? zaaM$nt+AvrZJB2#ol@TMf3#oL4q}%tTQCU>bITHX|LPXkc*pYDg%6mSq}Z8b?|N6- z+}w}-59hQ}<K{CP%k{~Jk64WsoZsSi5x`5ANrfDHmBNn;8+vxmE{z`7Li7;UZ>n=r z22^|A?JEkzvXoIDF4*`y)MH6z|5<JK$yrQZm<=zR>2@)Gx^Rm1mH6cR&uqCIJh;Ay z<pROs+^1E-z{d2N!<Ce(Y4{AuGqa3A&-rdB(pgE3vHa?|Pl9gzz`n&)?ouJF>I8&* zk}ZLZR5%=en|jXkY<NsG{n~4ONu_9yzmw1eH}Q&W8#L-U;C;a#DJfh!&6?+zGQJP0 z{uk0*Gw9A_uv7kNyWx#WjjHPEnL*?!URhzMIq{W=gcWGXoDP1p#OrB?MNDbm{INd$ z_KvWazh~z(%pkL%Ef-==`l0z^UK6lT-&CeF;CS<893;mA<!*l3DHcdYM`ULyb6{Po zJj$is=Z44k1=Y#d?UEWq(0t(b9W8-zpL$~T*-yn0DqjV5dK^R8BAdvBw-bx<UcLr_ zA45GOpM6R?)rK*L6bPmyM!6e4uXw8Qz)gl%Q!i$2@{Q1NxfF5Fx}<UK-5!9p@Z;`N z0SDdNA~jI<UPi}xM~Qw+g^f*in$mXfE~`&w_e|>HuA2dplZ%(afI`@l<keqU<s|LZ zrHy(1icne~J$T}oeVA!aXm`NK@2^M_j3{{#%eAaP{7`SSO4ez!<v$}Cg0;_YXLZjj zKF@}ZYfC&BA67?Ne#(=*;N%3(80QKUuND3%<-AEt9rkQFA~Ye=+T3=W+v>^g|5N6o z#{HVHlKX+Kt2D>Rr&tQ*KMz1gw`pz6L~F3>FESZatQ2Bpb6m4-ej#J5N|MPy5)F7; zN3ea?<nZeBq%1KVR$H={{=BWHue)xQ^;%KgeL0T~9{{s>f{A%JbA$xQ8v`U-I7(r* zU{}uQf;(k7nP4s+8{M@PRb^!%C*)TT{UAEtugoBdgO`oqf(xV;cb`jsWSDmoA-OtX zt^L%qT8i4(!odw|&-cvYl?H=(wS%QpfjYMfIp<>AyE8a>i5h~~$v<-OQ(g?%hvUVQ z2YjMTHMYN3gV##ZlQ;q1GI<9>^97}$fS#+c4~t;Fu!?E8aZ~_qDd1O2koKkfK?C*E zA#)a@3;9NU#+c!U9-pmtdz!l9Eq%F!pC>;td(FNXS|elnEM2Qa>zawp&Pd_IXJYHL z#*-+^Dsdh5z8JAv-_;9Yl6m_WO6#8HBe!w&tI`+#DDj}e!gz6@DXwNq!Oy>q7lDY4 z@ee!8Qz+qzU>K`3N+fMdwexj}>Ent1M@M6wSLmXsqzkbr)eVUoWPI4-?QcZFQDg=O z<{R0F&wJ`VDq)RZ7d&MQ!hG(%GO8(fb(nK?16m9lT5emC@?W!aRVwOTayv>*4Le5C zHtp?bR!HIPZuWt6zKc9IT>4cQa5AWv9pKpdH_ifZ6VTw+9WkkQS}k1+y_i%7UvH@k zp<Y;(05!O@%BxxGwr#tod@w=y$&6}J=dTctsgst(o7X$EBGM;qC@iql7wQB*DZAyw z@X1{D#)lBPBTWfc(fevApK7Xbkg1fq6>E;OkUS|k&=*1H*1S?!R|j2^2$@$k2S#?e zDuM{ikcDcU2Kyt&GY%Esk1M?wXG+HHWUy24wZmQ}6-mhU8t_--<}yr1qO=>7b21a8 zgPmh}23sJkLc3>2T5;P_CyQY2r#y_;l`=k?ThSpa6F8++B+lZfaw6F8XiT<Jy=W>r zZ4$_%gFzy;*Sm+7P&*-1I4uY-Z-@%RqRV=ckoWN#74`1P!1l)MWJ;rMUXenqG^j(~ zNCs8)CWPCZ4%47j=t;Pa_x3WWi{zoM_7XnZUtVs+&RCD}s;Z+n=}B#*R&1@I;I$#p zFP!p8{asie``MSghK5tLX5sNvkI2XrQ0P*?%^R{3K2({qD`aIx9SglBX1fm0df-dJ z=&7h+0~wKh%jW&^{yHZpjJmz*?9U7c7M<;Evp<Igk*GN9Oy!Fwe&O><t3iKhP3lik ze>%AzPXc93Za(Opcx4@IIdwt<^$DkJTVOX3^yKQ#BAxe%@Wib#J4ktzdRGDPYq?>Q zLmL^6uV@GZ)SCm0*}({whKf8u+U`>s$#CF?T6q%(+JFwocyNO}&k}1eLX3}W<xzjX zPb4#MNb_Y3S>N*Jd^{GS<vr^emldRWkV1wi>P2{|O~bm{`HuF<CeF9;p12b-E@o8r zzz(haSk62+EgLdR7YYFZYc)8{Nz)dz<=eBT%cp+oOBsmfsCClxX}jFqHNU#T$jVm2 z?Et;;6|%E5Zl1aTJ$-Laf_?iXn{$S0y9Do*X&^ygQP%((?$jW;Sf{>RQ~1qps2XB< zSa%EkA?L?H!q%T)Kq<YER@;G0X4<7+p*1ymw;jyt_4AXh94Npi>brNElhInf=Ha<P zB@uX}F6IP{2Z92c#zbkCF83iRC$W7X%C%dXTq6&x;Z*D_*h}<0Rxq)zfv?7^-{mQK z!N*0!3tV0`CYspjBmvvK$e;(o#ukK3opeQfGxwXbT5qJmgsR=1{u8KWl6tEV*PqzU zvZuG5QnICKKv-64y`B<g$^P7JHF_<7`O%{XbJ;Z<bw$B8je0*x&Aw1?=@wXuf&xM< z;#W=zy98ezSGb`LKFf3NyukVFFkFd5TO^#$Ab;(IIRqEgsndEEIJ28miv{4uF;dnr z>>!U58?X=@uf6XE#}Ev@-!v(;Lkf+~c2_OPvRQlp%yI_#;_&1o4<)8>doEwHQ0;PI z_(-L~dA#D-fy+!_<KUouuI{O$i5I<z59U?6%;9n&Dy}tnCn+$f!fno~TT0Nioo>z) z*gIA}6rdz)VrtpzD3BK5k%@EJQEN4&Uu;!YP}tF&#^?(F>p*=OKopC7wZ<26%95;z zoAUzHA3x_1tRsiji}}*8O=e~t?f#>D{Uz*GE$yuo(L_yC?sdst+zT!D)ZN~rO0r|o zXMk0OJ8pI&URp&)%_}Tq{qNiLi^N<OG^ezboyGV%UE_uWn8l%pj}3NrX~Im=y7GzD zlN@c8P9$QZA1yxYGr6OT(G*lhriysO@f(0*Y|uab_Z$t&>t8R4soEmtxPM)D^KJe( zQ0VW2^Fl@j!q%#JQ8liCT9E}Pm6T`{*|Tk9b8E(m@Z5LYpJK(?2<|QpxO3h9$5mWy zsJ$LU7c0|cPtWQ^(8<GGMhd+#ov(&0gxtI;k81m7q0CxUmp1<DAJs>(m(X*A@^;|0 z?ssAg%&9M?>&VS#dh!)7!{Y(zo%M?FbG2WYJ+z;EQd9r2OrxMTO+CuPi&X9=_4v<H zCxz=#_gOoauctQ`F23=Vp?uIbxJfAah`QsX;ESO(`b_f#9w43{<J04Ux)jYIcxP&1 zsJA!2eIoiQg{(YPQ6(3)T`e(uE?f-+F|<el3%-2ZexEEmXqw00>g{}xyR$830JayI z8m^4%f6@1?Qhj>LpQnpqvOBi=$ys?6UiNJL2Lna`Qc!CDe&}~pOtx#K%Ul%fVZrTP zw0Ctu+eaA!cIY+B@3RPc8ep1=)hJx65G^m>R;yM0P6?MiPWn{cTdMvR&tL`Qt)=4@ z8pdG~n^C`L`z3h+){D21+z@EQYvO{E4`+i7ck2)o)ui*(cbIbvIOwCO8@`(zCD4KW z{E5sSt(}x<HFqpWTU3$urKq&MbV^w&ZF)r()0(O>YxX`>e1>Df<lt!ZwZB_y!;|VH zV;5yv;jh~*bgf3e)&#UizftZ23J)Tw=Ws{L?!)`#WSh`vuooj~XZ{^Gqqe*B^5M|h zm#0KG^`RPu&j>~4Jiz{GHE3Xx<Paau)Ywx|rg?8bW3V{<!)#x+85Wxhm3pVfTl6z$ z@rkq23$1{IU)XT=7;HKPt8GZ&*}&Xh=NC`D#01ndgNR~h?5{f*xwLWMSO`H~St@A| zo$yT&nn^OF#Kz11eWT<j{F73sWkJ>^ZHoZWO7&8}K<zgy%SZzci3#rS6Wb}!Oxhch z5f|r=1L~1pUZzRbx&Mw~Ak87kqt?w+S{AnIBT5VRhUbtvYkJVm^nQZ$n5$P^f=CSs z_LIJ7?qsY82M?desG}TUK#MJof(q^IF~jsGEm^q16p2^$N?@f)(*EOb3;Rjns?&~s zq;aZO)Drg@7u)1yOD1KnrY43jy?XL@hA&-<7CZJWOPQ+7RbPLR+X;j8WkS2<x}s`P zQ2O7U=e}NPQZ}XD@I-A}>mT<E1^k=c$J$ioOtq>a-sDx#1Y?1L-q0)ZZdQG6wYjxf ze~)X}+#Y&|q+2A!)%Q<$r3{`;6YFtm0+E!ian&&QACsu0*Je|!D5Ym>584ciSXNxl zEA66i?7Mes0bkimRC3ZVkNDk#rr+5iT|~9C1nps|C8dJ9`7Z4bVl4tx|74N+dS*=- zcT4d4p!fC+8~_*3_!{&%6g3ijSVC%AcRh;cQpHLB{e9k^!L0#T+lhA8No?Z$^IDK> z*1Ah?)tOGs8UHuH!^g(o=4@_@R4Vwe&EhnA8G0BvjXnDq*Mn}565Ja^ALfw9_h?2b zj2vSm>6|I~`tvH1%wW^MXQvcm8~p{<kYr{X$>*0F02>H{*|yiT7Tip`u2@l+p0Ngf z(l0<_K>QuNE&{^V)S@#uf#Jcvq6acY`VNK69emykL7$r1!#waSGS`p9P-U0v21UY& zUUhw9^YK@otf4jAoYm;TfZi*bhA#zy;;uSFq9uoqTiD~Bb;O#FdO&u=rAxK9{C6wg z{fq>FI(oG`)^yQTa~<;(o*>ZcrhTg&RKs_?FQpWeRkIJor+lw0ZRoL%uX<O$m<l}T zoP@te?Zz3Scfds<ni#<lXAO+x+-cC!Vo$~n6D&;~bra<~&jVT6^ZyK7Y|oid6Lm<e z1;&g(%fcg8Gjb}_UDK9C<fNM#ii-zBdI5e;aAJdt`|Rv$h5KHGUF_L3n*0Uz+{N8x z3(oFar|s4Ss#}X~BG5Rs6kYA(ad6+xru)xwSM~DHiWPFy<?y>1TEFHgK2fpl=Avv; zu9r}n@5_YeF*<S64hF|@5z1y7z3k@p#wIjLTi^Ldvr%hCsl&~a>slSh=2ma(Pof}S zG4QYA6XC>_#LF6z#%;J2YCh*Pl<_9I9=56<58aTlFnAR267pKSQ|8RtcquQwXX$~O z0QVU0LN#n^F;Ts(*?)bo(9AwfP~_Tf&)0qe>Y>9AF<Qrf-26hXY|oy~SbGT~(X<Ep z*Umb`l|X|T?A4OR9c*OujTHbmy$fu<7qyK~t2HSk!WR;WdzR%E^NKcKWRXDHAKjh& zlJp|@W6|vy{cl{oguWeUhyUW@4P>g_jI5x^#c+s|5-}`EYSj&l`4sJ3{hqHI=e$4H zo$Df&_cDbsk+u2;!9Kl!dzZ7`DmNvBS=zWA6}QYGfzPNUBuF;WxJ>{}ot%wNnH<9C zi)p%;0)aM|K_e`#rWF6ac~8`TENkTzVeOyq`S_#7fh42=VJQ_#?J<DZ*hGxOZ;{Sl zD5mrF_Y0-<z2d#fK4LyxG$EDS1D!ZI|0cN$sLtL)w6JQzU35Td$Xk_He|4cu5{%*L z>wBlwKS|Er-Fs`^1bB^%oex1~pJ-JJeuahg|D&(zkU9>c4j45K{KBPaXj0iYpOlnP z-~O+2fiu`xt}F|Klp9E$p4v)}H>+}zs#;;`oc#$Bpz55%$TQ31SGnv7M2N_+M?QhY zo#MH5VKs>Y{<Sb)M-xL|1J$X09Ef;s@?4|$&hmW1q4S$PpmxxrDRUD9GBR~6i;M>u z8}L&t^b|Ovc&Ph)e-t;nw$J}*#vluRjOBC`f-xz5VPu90+E6+aPJSLz(9xn})>ole z^6YJsfW%zup0H6n^whJS<x9m!{YYzWcAlGlUgB+gIi;{U2uDZ<tBc&Mjl=(E_DjP$ z5Rm|Vr2jOgv}c(t@_L~T?;LA7Vg%0x_bYzek==08ohY<PJJIb-5eN+f;1jAk9_pa6 zl!}S!<xCzvMk>wA(Vi(}v*6zSh-g0OJ%4$ngKWc_pVJ7Oe?j2Nkp&M3Kg@V$$)B7d zSu)+N%hy!nK<gP%HWx5^QJPC;#LI)mkO1U2*f3URk~z&ju8gkApqC#km-nUWRxj7W zv@Uai(sFQb_Y#lxFtvVl<&qWP%g@CpgQ!c)R%8&BDw~!Y>FrKC?KfP#7!gNg>F~7C zSZ#J~&F;v#iX}Ikj_uj7C7ulxjO<sD*d=)$#H%USvdU1D^m~3{maWg{ar;264<K%w zTOsCxV}*A#-Wyh{J3Y?*s@3?8B|Wtyl1;{&l{QcL$1Vy}g*=TTy5rL!0%_4H8LhQ& zc;S2TLO^#pm(LfYmp+byARgJ5t?HF?Fwl5#A9S*4{Q+P7&nOWd|GUi#ar`SwuMRY4 z*e~5+AK%k+wjXT2KNHjm>UAAR1+0{l>hw36#`xKvKUx!ebVl8H`qz5d-inW7(a;j* z72d8paYyrEUv|r)_G~Yy<f9REo&@3k>R6yy9@!&~&(Bq&vItUiRlMHw#X)P>c1i}` z`#G^g1D=8Y4p{=64@v4XgNyPT@Om~}DGjUO%x`oYuQyEb^;-TMP1brsYJewlkGDcZ zW8ttR73!07DPL(;%Woy3uhCni)n@J5O8LQZN~5b>&=NHoW-aJY{=woJq~MbKBm((F z)`FheLLph{n-{L~$%gEsa0TJ*$;o+Z6MR}XCzOwCrbzd2<x8~5X7p}x2f<PS=`^UN z-_=TI{APPRiqZgC9pVp41S<2W7j);6yx-K)vPKSs&UsAbk)<k});KaHd&wi#bQiR< zjPs=+u}tUq--Om6nE7{4tU+<6-cvdM#IagN&W--^yyAI<!^B{}YG-K){<$RWEo8af z1eEOyRPTfWPU-F6Z{#{CzncC>i_LQOghbZo{3FZIb@yfrW3bo&0Mc(F-gh03TK4Vt zC_uPnC1zp!41&h&lT)XEx6OyUd=bsdiur0e(^G@QFZuU~^u*qA=!&}e#z$u%ZK-9* z|JmLT+Z23U%aKj0lrz{oW`J>+r8f=0jvCD)dKz;M)x%nIAJh9=GKz8c?d+{=x|_A` z=X@lO)>EPhG}T-Hx-!4!JNT}luU+QTlKZ~3<Dz}&O3g~EO;8?fUu*C6Ydq~B!x*eK zs3$kbWxBPpxUyKw^_+WJ4Ll%={+1BiSHAinmftsoOzaGI-4&flk$`k+vq<0<SRN<> z3&#ZO%odkjmMwUt2uZxxc^i1$0G_Z-xA+>XEhx}zpKf^%_<7zF^(3-5vHd~CkJV<- zqrEP^0R<u`%h{r5iD$chLt*Tg0-_IE)-z;=`7q!pU#X<bA6nZrU0P+^o739z96Fns zKAUSym#)`crV**7JmjimX}%!eZc)S*ms#=~hraiG@0&@pm6&yT_&akcizUZMQ;q}x z`EQYT0|i_M;N&ijcd|Np*!+9!8b-3~tAF2?vv$ccgZSygJ$>fz=Ny_>Eiz2#qRFnz zK?6MPqeOH6iq;FjbdVOyBp~LYYf-wTL{pThMaG%ZG8!x=tN)$dtSk7cOJi^Tk$jl7 zC$a3Kimx9Qm0nF&TUKYaFsSReEF7jFLi!hPz`naPfHwIlRN&d(Dr>==>Z-EC*Jvoo z_sfRgGH<t|Q)B!;F~3=7W<O@#Q%o)=FT^K#57f3z@QU?Q<)*f1QKLAWyQz$0hl=^F zbXl5S^mRv4rOdS{m@V{wpA&EN)ZA(&o*L(HSeB+$IP>TL9A>C5!bUeQCu9Fr@O<<H za>t}N80h`jm^nc<H@oy9J~L>kSM<J@ij-<|?MlvJvH?EBxbXw3M?<Hak5I+T^0)Q3 zCG&J##N>0MU^0ftFwfs+e@ngDcKiO?kYXG)f|kg}1RZkv8&cc>WOZ19vn+Mgc%RtP zHYD%e_->|fnF8qm{8_(@=A*Sl!x>1(R$pZ93qV4kK8FO{KaBL~f1F~?p3Uj<eM2&c zlWOQQ3TN0vuF%)7XUPC<R^eKfX|s)q?ft1gN4o@PMw?PZ`7yt~73&Q+_9(!R#Dlq* z$K`?z3N<t+R2h-a9cnVFL9utwPW}w*rwja>9u;GI^6h2gL7<a(o>>(2V1t>T#(YBy zkS(nMMh`xki)EqOMa-?P>xtztxhvqMgf?h50^!1(dfRF$*|6WvB{t7t<4Ak$**iTm zXZ^H%$R8{`@tHd7{2aGAPQ-HTe6AKl7u2gg2p@C5g*45WQ@-QQWg(FgI^EsOnE5`9 z?cwH@2v_8Roy*!JNf_o?zssFjV_5}&_q5~PP~Vn|!)!MgysmmJ<VmNhI(cHf%8)#T zEo%z`%ern`6x7bKjd;HF8%Bm4YS+AC4&>2+M(oLx2(^w9beOfKO-oYOR|~@ey1vSi zp)8&vHq_kZ3Pqbyr9MK{oJ?e;E5gDt3ondX-K<t(#v&N6ljI5*a82YL`AWcm{C37i zdcnZSaC%z8Ju8RSj~Xl)m+vYJgBl~4Hmr!gKn33H2RG{(7)vw-xbGQ_1gC4<tHD_q zU+r6LjpCD%vRMt%vM<rgP+-^WsL|r8{~VePlR8VE0ST?x1h4irnetO$@uMp{VI*Li z%<oNx@Mp|^13=IXxn?H+ZIJa@9iLlyM*N_EeCtuy_Pl%u>*?rSr;$g5MaUSkFMaL8 zfPpV~gEZv#h+CFE!PC3%G%c2rw;9J&=jOaxC=1Tc)F%xgNW^R2?AwK0oxp>pvu}2z zukoQiTtn8D2c6zEQq_?$<hZzOQ~#^zDS1A#%l>mZiyV!B*dFv8Fq!D%W-Z4f6Ee{> z*UpI}=66WpWr&5wV^6-)v!Plx+`2%$QaJdIYl;brX9KD9k!rj5)HM+U8I0X(GWeu@ z`*+#R?=<Ov>7C%3?Le;ewt*gV_up;N#1~Ivc?@9`A#32aGdOg_e;y@s+01xJm|D7F zvnVlf0FhrorrrYcZR+!FcSWx%72ar^UY<xTwaxo3O^pC;bC(1ZU8GnrL4pI1W5aTo z&{3WAgB7kDUZ!T&kR^${1qi#NmsOW{=&#({H;125-)0xEvAoU{Jt^kLV>bK?7*b5m zV48AahgDf2F6w-9b!gk8q4a|Tt6nOTTC@a^3s+xG1z3a|4|iuZhuSTik?ZCBZ4C~5 zs}WPnT|?W>qSa@`H2Y(M5Z?aznQjoB&{dyvXHJAuM%!KC$R6~pU#6($etZ{iJ-Vn| zeLtvuff<2B>pC8sxJlR0hJ@(C_p5GEMElMHt;s*wFI?uVJ7aIXqX!2he9k`mQ9a6a z>ivuWqmr}bMukK~H%Q*DR{qTI-<GbGlCy&)KDZcF$n^q*_`U?>iLzr`4|?*3dp=kE znVdHLAdgzVa%q!B2m>kvL5Ext5zD(hIy{{@X&Qg0wi`nRwkL_A54+=y+MiL#2ya|= zDs};BK_jcohmPB3;-gSu3!>}KCYVAjt0yo$8$>^Y@|opx=W-b7Xf6k?w)6WarAw&F zrRUpP8ltan2>TC|;&!ATU&3awYabtx+_hKMrjQqYu5`as<IDHB<yCKkyWx~w8>_D# zCD6;;eU4Juh|iB}>PzKaOA1IM@w|_wTGgrzZc}-dYCquZe6}2ax?Hxf{R`>Y+m#Bi z&X<%)C>nU`Yw)K0wdBy){T_I85@72~ATj)_+O>T0@76T#IMA&LsBE{bZ{A28QpML# z@@7_yC!)IVONfQoH65*gv!GqmaN~tdocOf6C9R+%{_gd+uIO^%Djs;nCyNeAB>}xG z8eSJT`|&N#>mZtTDBh)->FDsNG7?zQ_wThE-;xN~*GD~t5vg7W_ip5B5_noxPM4?K z%jZyUT4bi%&oDSq_(})EHnf@7Z6oclpU+5=Rwmnn_ohebnx`5iQ>{D8rW&??=};uV zFBHPaOuDjkI#`jvbX<`M4xxQD95rxczls*Q#NxXDS+Q9;YFK0&k)j=v1G>dYNy`y! zppa13;d=xPnjX8BJ=v2TCMPG&Zd~T-l7#>81<Q&4(>y=K5qd6FS~+jYFj5dPP@vgA zr|Y<%*zy0$`VOe3vTk7=M`bLaf&$V;R1gtRdQCnXkuFU{+DMTiA%tE6cN|1SO2h!6 zBO)LmM0yPwrG$?35<-Lkp@o<P2q7W)nR#!$f4%>|Yn^rXK6meZ_c`m{v-Y`n7b>Z4 z^smnqo!47JlwtCgi_=8&F5GtDvgziF;gIT5ixG)u&la5IqXKBat-aG90@*@}XV*ka zh7iktH;sz{N$X#q1y0NfH1KnC)5-bxC)q%X!rm2-jJ{UXxr)sx`Qyg<ISw=wL*eQW zblSQ&i0y&SFQmGM%cCflfvHx|j*&$BFxT_*oFn#DvTCs1rdRTU1IA6q;5-@(EWz51 z_g}Z&YOo%6YJQ_aY+*3X+*uc-R{%GWO<SP>00<s@yqiz4SX@1c3}z`jq9xe;Mvfqd z<$(D!qfODh)Fs8MJH{)yyfTDy(<Pe$c2MXVW>MQ6Pv=~K6qSv_CH)9N^90%C@14+# zX#nXO@9$V9C8mo10=}0P;|SVx+lcVwePc&lZKEF~@n*xWl=6>&ol9PO=IzpT^X~xL zd}}|wIK(&fT~QV*xKxDNYGBAw*{AD*@;*lM5^?f(xD#C|l<?bG6!v~)OGCDW8jhns z6l9&IwehMYg653Mx+VtDW*#EOzQ1b4zI{zP@MAg|t+Pk;a8%i4BkG@hF%TmqI0kxQ zn!3_Y9L6dvnot(16U~}Nd(4700Y6QruV(j)pSys`q5GxJvnRDr(fsO^jy61Rd06jZ zd}>`)RovI1Tme-cAL@A0z9gt^(fk;y6qU0c;?8S>KEuYhI=3zaK28o0!(SavoqyF2 zf0Q?}eu6^kyY@6yncOZ6pU47}a(!Iip1ju_>MEw?p8E!8(`f`9M1Jp31VUXV4b7A8 z3SVZAn<2e|E<NjM?){?$x_;d@kKa>6`a+HDdNR3u6-i4mPyc26(_^-)LUHmP=dPZC zD`q+GlI0iI&Mquq=e*Qkwv+}o&Hp_(sa=0O+a@=@kIuQO_~sE?B~Ic_%cV47v$4&# zpNSU=M;CxxChLJ1f**47Ts|P?s?(x^C!04c``dl$wRGxYde$C__Yd-Qwpx#g<~0s4 z#uq(7gh|F0mp>k|J$b7%`N$JYGF1+g*D5=w!SYv8cI~B+u#BVaL<ig9C#dCs_7u@j z-FrE>q&7Xqntq$sw-?~1(SWg7@T+i>YX!s*7|Cq?#IJ6ff9jU#b_4yof<>?cb3Vm7 zHM>!)PyrPgydt=ETdGIX>8j}fB)0**&1*C6Tz6x9>wm@ey*yrlx;ogi>G;W46n^&6 z@%q%7b^VNAS|v+c!M*dY;^q-*R@7^rW6+f5oP!9I`R~K$f@8dN+j8Q;Nx{$mbE=P< z+6E<?5tN;V@Q>b6N`O`fVX<;fasF=^XQ+`}+?v&w87ss)Yl;z8_t;fahJ25uxv-Q7 zCKaZBVoeR63bT&ZzjF$533IscOY~8A(?sLqpkbN~^4aGedyg~l)#jY4yN${bn}hrS zLK8BW8Q@G1qC77rT(iO}-XXwDT0vg&&sVlm9}ht8&v;#r1L{vP|9Gg>dDCxRDSIJ+ z9SCnY+NI?hC!=)LO;5b8MP=!j?DXmN*#NFy4(xW1nrMMCpD{Hjz~QYc^$k<cGNAuC z{E*U9wIwd+*S9)ia`{bw81lDURY}*55%15&x#7-1Xwi<u>>86LOGlOaWuGgcYm?AO z?I-%&ab_?s8EDIDnl&jY49ja1!#G!p6kN=AMvwX0GMb?Z$?{8|-#@Q0#cdu}Q30Vk zy;`cY$wSg-m)gWMk242d-3j)KPa)|r(bYS8*HhLPOP@}5plw&bzEif-?wA^O&PuxG zM=N`j?IT7zOMAOHIDBU&zbwQ>ukF0va)lD#?TKhs7*JDbfgUjpWm^In&U260pQDCe zx{$n$7W}^5{v?z&D<c({9GU6ea^^l`tTFau>Y!A8ZK-4S=>EK-+pY$^OnzXQn=#^C zcG;&7mjmzojvQ@Z+oh*@oS_UxUYlivhB{^>`;LzI887emhFp4+_HMrdWr08J?*ELg zW?2EX0^ab06YCV>rk*ONr%;bInQ~>~E^q&+gJ(b5kY(O|%nL9W#PP^2A$x+=Z<m+W zV<R_uWew&AG_pnYJhx^nH;Z?(A=9WGtHAKaX53~zFTD_}Yay9B?^yG_<5(uCum`iv zNXdFxI;$y~q>Ov0QVXAWWYO!$x{OuA?}kJj1mXTJIMY5Ah!m10dk^%8J<n3V)w>E8 z_}mSXolY!4l7m!T-Vr5sE~rtAbG)Qzl)L76(XwFr`wBN-Yp>#wQS#~oRMvH;H7TU$ zMaPWmLAl7b4HC@$Pt89Uoa@4hwqis*ts`{?|B^M3itzSJ?T>9a5>eVPHvT-YHHTmi z07<=0o9N13?863nXMd;7HoEsWxZkaOy$#*5rQ!S3>5%22`j*PAZz^H<=bA%qPO1@X zHT##ZVWl%ROV&kxtb+xy>wS{l%Vs4BOH5ux?_(*fn9hE)@mU54^HQ6xwDj!4F{%{2 zeQqTW8+OfZHu7CbtJ>+ooQqq|g!3eT_|pcF&Hc%%e?lG14YgZ6>aw;oN*Hp*yn#IE z$6GJ%2ni~m8rd+j{Om)v8rfceiYqa~KWA3Bx~eQZ=#YAy-z`6~KR32x(_5l9LMCyR zV~e+X3U;4%`mi5*lT860&m}n_-7r@wt_pgm;YsRm^!Vkp_OCrpw--n9Kg|HbNI&a6 z-GM9)I@^<rcxbqiiz#<r!+9-NK-P}SO5sz#jg?zVJYRCvHk7mvCe)WUJRXNDOXQ{q zmiQ>Zq=U<>2<5UWckGlGI@h?I!AeQqGFi(`Mw|Dev=Bb(%D=qNbLF&ME`@T~R#eB! z2bC!T9z`eJu|53?>>t+j2Cz`TX@b@CZ~gO>-Q@=`Rda+g8;8SpxI_AgK-zI|*pHTI z9%T+3wo<Tynm))tGROY8wgmEKJ}6sz9f|FnZg9?UFs2?^tq@H|j~o%|N5I3wOQU{# zi?LLeK{DcY95;(u2)?z2!8Vn|N?$=)@Rx_xETVsYb;)pe;s_u}=yXOD3Z`w>3mM*K zzuD8Nr9bJ9QEuJo=CQa`H(dBmX$f!M8n>s&Z@0xYNK8h~<KvWJd3dG*OddPU#Ebfw z#|cXAR)Y6~X~-zMjn-yRsoLPef@4*JTU33rd6phnB5EW%Giu%Ue14VZa@*t1z?Emu zWUf<LBc?!V!ZXowKDY2dzdRTpi(|eJLJ*Ny`bs7T_<ipqu949eD&4ZXi23LMD9%91 z8sqXsu`4KU8~tLeowR-UIE)_;L_oI3O7iz!x$JG=#3(L&dOvu|TYMr~!=-b-s!?0x zAa99$=yk$U=vC_oSZT^cubI9>nLZ;Ii2x|&VDV5NgAkfLGZqJnus*1DmVBAG{mqG} zR2S0wm{a8VbSqi!eDff~6S<+@J}l2~T1Q=f^V#6m8anq4MfLKKW$WGiduV;enjJp( zNs+}wSJb=6E0d(){Ekyg3kUc_z274bMzGElOEe^lI`y9q7OuNC%2nkcc41`&V3d#W zZK-F5FnTON=LkK*m_Z4A_FNE!z~nea<}XHV%*@Dajrg}}`m&-YUFH(_J?2bF?Adi+ ztsBo!Cuc%GS2bDGJ5l}-U<Y{m$~Rds+RC?jMv0-FraJ-1%>w_)bjr#6M9d2L<W{@< zDE%}&tbok>mWJRzO%8MhM1??AO>+*TbWB2sbLNNK)qs)(`hlNy)H<;*4%Cdh*t!Gt z{z;mI^Idaaxnx`6dPmm-_zSyIEwHG<lxTzP+u*i0I@U8u9PvUdLz;YXG8BPNpGG2S zF|FKe^6r`eNdH&kQ6ix2JEIl6D#>a{1@MgC{Qa!WxgjnTcv_0?!>ZMV=0w|VyNxrz zoHo6(_E2d@XMJgw3XjT3w`=2h*&67ZQmO3yz+B5~+xU36Bn#Yiqj+nRoIo4g?qW{@ zh)ETg{i||f0+GtbbNEvgY2<)Yp$Oi+22b&{Nd0ea!wHnZ3IleT-4fP3)XaI#<QQ*r zk~KaI2$svVREAQEuy%aAJQj$(LI$&o@eyiBa2`YIbCX4L&1=;*55JK9$4&-kM$3ZU zw<$JXAwlZx?(pO4Z}beVN7~gA?leCg6tCb<R_C^^R*h?lkiCDefr;UONica#d11Wn z$f|p4i&i~~GZ_sN)u=?vmelvJFu%)(lYs4A^7G>p6Ky%1j~g#1c*IDEUZGN8Ewt+( ziB!elqk}Zc&5OO9N+Rt{LsU6MCvbi}KTd0-(m7mu&I{k*6_UgFCFdb_?Wnf3*6xh~ z5?}q$+9$QB%4UtGg5lx3LgHH;<3;bu$vlsiqOcIAUlV288)hwPFkpzsT7$xZ0I0eh z>^hFM$Du99AuH#W?W#LW`0N80ir|;!nm6~lwT>E;TERGQe^qvuWcX@!epf)l<P*;Z z(oAv#s#MFXavNL8XSi%94Ec-il|~&?T7;Gm7P>0#m9=#p?EWyUy~cv)zKcg~5Stj7 zp4z|_Eo71%NsQQd#79<Ks|7gPy|}sk6cPXU+hYa0!40R&W};e!K`NB4qIn&ijcr*y zO246Q+arR<%EdX4`Erp+O+s_8167~>BSz2S!2jmpjnU7S+K;g7w5zo&Y5AjNV7%lG zx5%y4zC%M<MM-pPKv20e_&$8!Qm6XVy2+9mlg1mj48#&g`n7eE1A*nOFohtZ&<?+< zq(y+J>}jLduI|ygURa-KacnyB?&JivvAU?|JytjOv9SV=H|KO!LMa%(AKjdD;91z; zVQWJ9W%8?0X9cdU{A&1x6LrLzn26xo@pR>jG@@*w1F^)8y-K(GqvUqY=*x9YVAlep zy}tf@d~fR0o!M))6ku4j`*L`#GF%JP)==ecW(RIo=}qh14q}fsnMN=5uQF0vjX#CD z%+FkG>*atTD2%`U^rn>CYRO%5&B8?G%_J*{53Qt`FSLt0&w87zn&fZU`DR(Zm;!~& z-CMhsdDrRH(AOVFhuuOE>-8oR$pPeVtl{e|9)1vl(Pj){7;qB|*IUI~xCTAF8TFs; z2ANmE#ID+F{yLf;3eEI0lujQ*ABS9KGT!GQL)IXH67&a{T|f0=n(A1~jnF8ObuEif zP~_-&EzAs|ZpOB|o%`mXoWz-uzvW#w{^->@g!U$0ur(hs^ax4(kn#*4Ke_%g1`?9T zY@I>hbY?b)Kmj)ioh%tO(RJRZhq>vp_7qaW`nSe_?1mBndZcQMm`ulj9NbiA=6p8o zVz-2c@9iRE2gp3P*?7emqVeL;;a`3gIP?2|{`?={@1(2Wqng;ixGX<qF4Ly<6P7~F ztoD9tOIr+S8QtFv8${yoashm@2HRm)2+ef{n!n@pin~bXI7IYcbbsUn$O@x8Uxm$P zJG)5K1@I=9@iF%iu-QLHP6_RhZoZbV49I~!5D%d`&BGL$HZ@-DUF-u>I@P9^zv~&u zuu}X^)p+*O;t{_u2(052s~@)^X&R!kICHfU6@&xNt$R20p=>fli*m70-aCJTHwH)u zRYC)J{S7u|!qyzVZQT=yB)gmfflC2h7js&F#^wydH^-vPB;vcnChi6%DS=2!lu;Ah zl26U9<Irdi9lcj5KAk#yGg9XDdU9oOw5Gbh)RhIfmqQ?AP18P)Yn<yppvL;F88SfQ z`PztSHb{j(q1IZIPn=gws+4O1Tr(wH7nasaDxo_0!^+Wp#)ygv4ZGawfEoXBE0v93 z0s-dCGR9%~ws75}X57;9o-m;(=VEO423kT8FK!L=R$PV^DarqF>mu+IIw_&(hPp7R z2sUw}YSc5iXqR1=`pnKhVF4lHwc)<H>THp)a|>0!lH2c%Cm59`ZD|AYCB=86-##%_ z6up0sX(k*qn^dwezB3cBMDGzpa!WO3Z=Ihhr8^}%o1|^qlRU^n(N#x8wd$swlvQKf zo<@A0F<bLoYjtffn-j6hO*qw}_><v<@m^0lePdDwY>nRo)LVs$og+WLX){o@V-ym3 z0TE8ApCV%-l%<l@R-Xd8Q-TKzvqV$z&r{_tqmc&BV}<rzCdX_(-?x>jG;7_9(R?Sr zrrEW=k}8KG1YgWUUbrkJ8rV>XL@c2OzD!;yXdh0JC%XhaL-{4(g^QcQUhNR8e$${& zKWj>wX)+l?8{g8%iio&0_hawWNj;G8<v~=*UE8H-?P*P&8mcEiowCV#ka}j8Q{zg! z%Djor7aV@!<aL5Yzb1+7$xd=k-0->T2lx8mS!i|7gVSf3`Pk4e=c@^Cw!Uv>c~-T1 z*tqR?MWqJ$%Wv`{hV1;eIYM|v7di2v{5~Bg&muYW2L6#1B<F6BqbRK}`(Bw9N^pC` zXLBOcncI9<-)|T2H(f0mpCb=fQH8pkmFynhsM8E$T=Q)4vSy)W*-?d^>ni>HB&Q)} z=@0$$pU#%aNjnE!H_Qt9@Odlz;QNJ8R<v`=`U*B@I9B(=r_{nfb*B;_KGOQ*IgcH? z8xC#z#oKk5ZL|awidXM-iH*^(4?wu4cQ~fk!TewshLi&^S2OnxrAySr%r1#ECJk#o zTDv9=*%LF=b@@8AeDbdvR|AwswwU6jwFdj<TI&*CCnV5SAz2&0AX$hTTP_I<6}<?k zcM1vIcUC{|;n(fI($HYPF(q0j-a^z?0ypbd12=bU_PM^Fns|;YqU{e8F(38{ox8gf zG_a3ph4O&w6QiMf*i=BI(8x^KMW)0#*R`-wPs%7}Da>o~$abOP{?5Z7M_%qLeI;GF z@u99)=b%*0pmLI*30Qt<x$R5o^qGxs?#l~d=RVnvFLUdA@<DraV>N2L{?qRr$n=lS zQF2>l0VlE>GK{?3K7Z+gKE4(F)?7$%DV{nq&%F&)88=XR_O<F|EQE4jLjp8Ru*Kdq z^Y#G3BVSm{32RtmIYVXTPTX8w_|6@@JJzp;Z##)Dj(z)Gy4z`zj@S&CNPFd32%ae( z+!rp8NFSyir(S8<8m5;4at*jA(MPMD2I9)ry74OaCx(k6tagq`HbMJnx-UnAUaNgj zRyP6cvGJ3<<%9aRtxAR_kb5#qSW^XUWvS7wr@D%QOQHciJNHZn;_~DItOBpiRFpsE z<{9}Mj8?|8qlnaHHv5>K;8GhNZt<$GD-A>wW8gV`wm1Xvcb>2I*Pun+Kf~^Ae+uF) ze3b0UR;?cWvCF$Al2lX{^hlUsVh({6)c}?yd^Lq!q?f{wVKhlXrFDx^e<M&>rw}=W zA_hTF0Q;)v{rIO5aQigRz6cQLPjzp97gOYSnCn{?c&akr?PENDzc|+$G@5O)pY2)p zwfE*eaqHD`{dg0*9m0z)6Q!(;0Z~sJS6z}BXZH#<o5xP8=IGRg-;VR<&({P4dtra- zYa2iTsnYBF`J%`jGONej$#)?v{~1GbWO-a?q4kL3rG=(pFJsmzKkx)W1{`R0Vka<K z#jmF<D^<EXN`i<LfsfbUDyk@(I(1o5iDDDoE}=5Jjn-0Ove~>l;HYbDBK?u6Nd;i= z10bSOM=Vr2?{pR0d9T=6A_Ab>PMA8p*->45bp~s_%{eYqNg0}ak*8PUd_%&O>;KSv zXb6uvp4Rx0psOWp?brBogf`RKebQt<cIqpI_nJ1J;H1D%I=O0vsMKq{$tC7fi95UB zdYJG-tNDIwj>X{m?3{C^Sy%<Iuq^CMQ^Nv4%%<70Ix1B_Z8qEfKozg0)QFQFc@n7c z$=au`%~s8KUe_=yE1cLPP&!VZJElTveb1Mr0_sHKul9h#qh;-1JTtWa-U^(<gZ<(D zD_iW^pBS3AoplsxftMC1<L7p_G#$B3(6mkQD(qnt*-9HhC@}B^DF!b??5SoxJc{=) z^23u)5e;pi4KOhG(Pg*p8XxHy2fo=FsjWXZI2ipQ)L)ExucfHUk$&ui8m=Y$DZpPy zh@S5^!01h_4}s5da+`J$Vuah^{W^#_|EB?ofz00{ZE|D4+(A9wur+BvRz-uw9p{r( zqPOPb&=EpPb7R{*W=N5~FUSz4;(iYS#uq+b9s>qu3?EQ^IR;uTTt)?$-VDQT|GhxN zRe_j&F1*}1V3*rQYWxY{Sc4?qHaL2f2`tOgo^S?QTtX`=0`q5WX1b}f+Vg{SbI<B- z9B%7_OGXQ2GFqt~C37R{9k?FP$L*BW2o25hFwuJrI9@53E71Vn9t#Clnau4L9?;|R z2Go@C>;i<)<G6|PDwlL-JXl5DWkNSmyY;N%ozQ0#VBG;&=rC#X+*w9*Cx+GXIk|k+ z0u9*AJDJZZtcwLgH%p?)fh5lH2E<Rh9qi_03uqOs8K9?SF2?Jf@E91{8oHEM?(_}I z3W4hf)w5xP`*?m%o;HF;07!W}Dj8_35BcE)vo~SS_gN2#Z|0flRSFBuChyT-QZ{uu zQVjfPb9j@?o|-_K!P`E`ux$d#rZ+j?LEn4DP<)z?^K%**h<caT@A2sJ6O)52a+SRf z0_IerQcQB-j0e$XW`v1>FYvE~Ih9Lu5+v!Ua*KLhT51hn*a0Zn_%7d|&t62k70I0X zU=g6gZ3+9%z;p=>afj15i=|3Ys&hQUA#Z7@Jhmh+EO_qV!}ELtY76IrwJ;p|3oJT> z9*U|4cZM)_tcxs)^XG8wA?J|qNVT+?Hj9PnwY`r)T?w1BBl4bt`wQ=l<;j=tvr=nH ze}Uh5nERT04p33y`Xd6<fa6PX>pnl@bx-3!gGbABi^xk`Iz?hh<ub<6Z(!ZMwCu&t zx<Xx-+A4dN0<i*YlU$?bMf8S*&&^%5gJ>w7XnPw9i8@!}q$3IZ{BDYHlfmf)I3$x< z7Hvvzw&PgfT`1gVLWUo0gyCevB=&8~hz-?ZQE9*h!ADC%@zAUub~)T<=MVMPtj$O- zk1&EBc>8CRkU`kB1rrt)pobZ$VD9B_6p=I(BwNI0TbDxr$}`4l&2h?hgWuKB*_M;0 zhoW=_J4ci#f?aR}hO5hY$h%D!a|50UidnO&1q#025cpnCD?9HG#PJt%xEcNV(jyj2 zARwIld?Gp|2ENsUa?0mgZ5bh^rlRt>nJXwIKzNGVHsbaoyFs6+qxm9`HP=E7IagAv z5M^PSyZ?BMG$P+LP=O17sweJ1)663UVErGWLDSFlGPjJ3^CM(gJ*A;bPq~VmN^ahs zdjli8FBn47{;L?pr!IRhfx4WxnGk^a(it%j-I&VzUL{H4h_-BfRd(9v_9*jf_T|tP zShnz4QWW=2awIl3A89i<1m4bzTjF)Tz5roqIE!^+5n#8R^)$|9@~f_sOHs0e!OdA7 zzC(h}I`-9QXt>{6tXgRjSj)gtqv(6@!q1c8AKUzw5%;jq;2GqgYLg)Z&=+f>gT*xc zlxlL044@-&{B1+Ez|Qz><pTvXa`rf7<GKsCpEy?p%1`!{!z4-q5vrS>iQJ56-AYfR zgaO7X(-NpEHAjaO_HW*)X>mnasnjwQup0wIbNWexnerxtBwrugoO*bwqsn+vMM=vq z*`rQ=(wq7o*#2#e5MVTB=o{CMB%Z0rC+MU`+0WGPEc;}S>S;wRDG(i;oBHiSd=L{H ztS3yc>^aoOBzE`iz$;D7VolST_ISOv-Ps$C_A={UdN^6rUBbH?B;mxa$}el1`laHw za|y#=aSa1j<Ag<O#(7ePNHf=L{L13+^=b>KWs-@)arS#65ZhR*Sm~ZLa0zxcn3w>= zYraD0`a=tdj}g_XLDLQdf=#p=6>2pU%+?-auM=7!uk>$a=1!+(J;+%tiVZxg(v@0_ z7Sx1)i;$h*PcHS*i|_odJ{^`(+UG%P8ajsU#xPP5+Yf0rw5_j=-P+Dh<QnL#wy4Y_ za$WOV0u<=QJxHGMa}Cn1MRn{=U0K(aiC3J#7l<nXs2K#r{6ELmY(BZQIwl9pN%>?2 zZ3TVIo?l;>Gzs{m3i~bCk)@|ktSyS>_1`)%{A_W&Nq7*VS2AMkc>Jv0ye$R>5-B>4 zU3Q<1iYWDCaCXe`q&$v%p-)XXNET-CN}Eah2DAYN(%ElXPV2iH79?vRT8AlN{-1Ou zqb|tYbtPGsL<K8{stW~pF>q&+hINgXk~0KTgfF>#6g4|trt4z(=)QR_l~s2uq={s> zw7I_YP9|K-N_%TwQ3d)56IU8#^T<)i@>BLBG*$7HPO9FE@@NfHXzu8G@IrCir?;5b z;y|+UM)^#o=xCc`^?HM9JtzyMb#H~AZI&<{0&Wp$qQje=^2G|nsnEOiIFaeTl-GQr zPHg->`>F1%;fp@_-)%WFatyaRqzTK|tI!H~h}p@?*@$X3xClg-lK)OsnSb*E>&UCv zw;mvJ+(uW0_GAVKt|HeknhTa*_{)>PIZAzH&ChB`rGESP)?!acz|ADyjj8OY`)Na% z;yWMLlrs~K_k4`ER)~-v6kB-yMYX7`d^&d5m})A?XPRAuQ#}+2rWzRuB(M2ond#~d z#gx&m=Wp#6L?DS`%Ma05%SET;{`y>%r3G3d&SA$vkyCh21nQ<2U*$|F{yGnQ(wL-9 z919*ze?~rTevqW)>Fk5a${PkVJ9Z19H8T)&x+~`s<rvf3!{dzT)e;f*(lN3Z#qcB( zS2b`>#Upw4($$U!5|`nN20LDf14`RyWqiT=q{xeC*?lh@@Ht-)oiHEBKb?g*H#-qr zX1p!tK4%m-{`0}=EZH8!lWPSY4vILZB&#RTBGvbwILS!wSnSN`7E2}DB|`$wxJByZ zTvVCOrAZ-=!lcO14S4gB+nDu)?mkZHl4aBNg$GiJkP}@xFx{DD_c;?MV>sedA8!0> z=I-y}@7QBaxQi0DQi?p&hR$3syAe^9lA<zEmc}5Yla;pV15R0u+y^qpoXrz%wK>+^ zx<xvoBS;N%N8&?y?a&KfZXi&5?I;CeR#qw>|K6-LNl;rnL?@^J_@CTEe+dLj`wC#| zuaqkX-r6e>F>TK`TICkmD9Mx^>_nF7!*o;SZF(zl%na}dlbfS-A799wS;-pCHe0B~ z8W^-P=cPndo}X&o1YVCDz)l7WD*~8g?(e!T#oNq$`z*QK#A~M@F@O6O3Yq9qmd->W zisJ|57dpM<Kg7U==i)yRTpXp;2W}epSNEV=+SWD89O<u4GWkACSyrH-GrZ;Y3S?#H zS&>_S>K%9daimpc-)j)z%)+rffy0t(O^`vGacGr7?P?tyn8KJBo7m3C*ePmwW_ihv zuhtj8d7hjV4GSYUf@Em-ohw7hvFQE9bdOE3wHy~w^vL1hn`y$OIWkhJpY8^LERfnG zh2J|GT+9+FQkIMPH!Q{b^93mLRy@HaFUg1qjzyOa9JQu<f+e^uL|JcJ+YR`=ElIq8 zAjqJ3j$s4bT{qjTs?x-~u1Y6ag0x_cjcnb6c-fPRP*WHKKL0SgETk&QEx04aj}X$7 zMD}k<9o<(3S3{u1me&l<XQIyF*Po_Y!yla)itD{Jf*q+CN1P8;t8dpHyO%Ibfbu3y z`Wy%Y+dF@Fsk!igNg-eRW_Ihci*fLqam{14PC%Q>M#$AB^K9c!s!;FC@|RnZo)Qu? zAy;LI$%dCPFEbwNUI%qUGHBb!Y;mF#=M<a>Y%211O^ojKPQG)84sZ?<D{*CZjOt#D z-f<0j(3D?=I3Fx0xG-MYtS@)<^g7Qs;<>UUe(%}OV7N)JkL-E;ZqD?xPO%RDf3TA6 zaG=b+h*LIotB%e;PR>q~Ge=|}ANm+rWu_S;jLy17{5UR(;Z{Wyw|!|HK~m+a{c^t7 zXJX!bg=ne-sSHf&V4505T6X8ns(8|GwsnYB4Yrl<LZ6*IsGT$e6BN84*wyTq)$BOq zOFkrhbfI`u6I9AtFx-9TY`PkHfkF=W03d2JfzHyXzJYQQSYL)k0QBq8m=+Ns8V^F_ z{~#gdt#05L^FzQNF8uQHLTZs$SXG%xOM<O>ZNuFgo*N#|$QR%9mXA`Ma`>6^ZS!B5 zqAJP=@~|4I837{h^+C#oqIOSGA)uh}&2I~$9Jp`z&u=gUo{Nt5@?Vbw0nyrZG8h+v zVSX*Pvr$Lcqob*_Q{_fo0`_>JiEPtUu?i00MfyqQJl-7{pz#^7P0qj&mJ$;hMVpq< z<48~<4nm<REbJ!JVt?^Czny~IpMmXffp<ra0}BPnC_cFlJ=2-lc``V2vNL1SK)Tv` z+T59EmW@_t8C`U227-1LI>{>1r*OfVdyCndrGj$-Z57U;tXW@LLetvp1`9FC)pJp8 z+`cOBiqcKtAp)BQ!V&_Zzt__<-RJ?n@(DsAP9jkUzmB3v5nDeb9*I(9I2Dn~Tm}ov z{}GCtFL7b@tdy%9>=q`Y{HQT9+-a>FQQWwI{8{FAJP(+wT0zY^tBb-KGqf5dt|Wq- zT)e&ISrW;Wcd3<zMD6~`;^g+-C*$yVI8S@C7;pReIUaAX6V0VZ2G;I$hk_WntB~kL zD$*bwW8QYQy${$bGufBi?y+{@T%qMpd@zx=kK_=5#VY&+BcB5M`=_k~uAKDwXX|!P zIq!F1uGv@qxrd&8%k2gfA_r$2mb~W<rIP&SLetin%#e4zAy&$6O}+ip+#c+bPmx0w z8vMnhbWrk*cMJ-iERio4;6=9DuqoP7qZeFRV4?$`ee83IFIPvAi{EfA+O2K2bzzbM z=Nkd|yy$uvuwEKA=H|3C`a^kaDH`tx0Czt%bEEwzQS^!Y<lVxv6wXy97vXw$)gU*F zJ>*vtM#^ER0g!oUsgt%-(PfwkdQ+^^VZ?Il!7ORFc-LWnv8^lg2Bwvh9Qp{^f&PWQ zD%VMV85nb5qmm)z<JPQ!MeEY>Eh#l>!MkX$TZ{v%UN1XnZ;Q3#()68MLjw|g_ILji zsEcpf$rD7?e4L|Zqidp8AbAf~u`;00O)T6;Box~zHHEQH3j9!a#6lXJXH9#vt@(}} zjjyZIw!s*rS)(Fj<W48kn)2K?{M@Ots3x{iBr$x(Jvnr;JNU6r^-Ct;!JG|^Iu}}p zcPehOQ0<AxUmQDV=gtCKJ<mEyP<dND)O?~Nb{)0TP65pp*RkrWawWqU8s(*ku<y zt}pLx%H6X3aq1P)WyBGfyb33qz%tUmDO=g)5DDwb+(ea97qP6aeVo&4tMyl?WBEI6 z>#x>Oyq?<^I2+p1n!u74ge4yxB5CnJD=CPjQ+%>0R{N3!P=cJWyqW)zn5)c+K#y?a zuML++yFV=HE1fKk){25#J4OSyHbc3KyU^wkGOwp4FcSXkXW}=urwxbwx%Dw6JSp-V z1Wwx;pfq!Y@hpX%tlVY!&A`MnxJm8nx6EC%b7w^Oq89l51p4`Lq)ZgmSl@#KR$30Y z-6Bc%T?0rc@+V*@K!HS(Sxw78kLqZ}*@X-nn<BaOCQj{NdOTQvPfsJV_&en)A<i>l zbq?>>GQM2hTGvL+WjJWQ=zgQ%<mFcL25gbc%<eXrHoKK$!C^34@>Y{wh22LxAiZHw zQS(GKH<;G>HL)R`^+`c9v;M;E;;jky?3z6XfD!t*J_&{^ny|a+HYM2zn}p%oN1&f& zCg(NakrP*HdfluK5%FxV>s!soO2nB+FRHSsMiVraSYHEO!uT#P9RUzpY7gB*YpO5s zbjMMKp|yQx$yrLVEiy5$9UA3qwGtiiT@vYTcJVGVwxvb|A*$&R*)-&DXP4%^l8}H& zlU3lFX-~rK(C=b0x?N4H{t|`swX~C-n(y!ha&n-;hsfs*<dh_OI(u51%{J|PGPS62 z3%?X4$}{7hZd4{aOnxsD`R)Df(U3xkI7(o(q)wK8dUoRV@>Sk=;~nj+1l%~<upCk6 zmN=Kovis_yN?zF0pFlo=jtyY61A#$HzeS&?o})WHwl42S(Q?df1_^3Nrd|W-yuo8N zjk+EPCoAsf2!|d{8VP<nfGa<r8tkyw+C2%EmPB6;E@IwCRSg71eP2}D%sA7-&1LKv zzFQA29d5S|SfVJ@4vlhr!?VjokHvbcdoLqh-4!qy<k?b<FDn)^-NMcBfRqDq(WolF zr&D(QK^ccaPVdMe#CmByp>D?ZXIpDbq1WaEa%sliYn2uC)ZQ*}yS#)4O6iHi8=qNr zgHUTHxy|9*1f@yedq=m|5}L+RRf`JK8D2SVX*qu19lQe~PE`3ABR}UCbV-=`+`tow z`}H<SfmOHJ4*j3%GA+44(1%iuwN)E_@3=R)0+Ro$U)1M*!xwEcq-$l-)+b8p?~QX2 z&5~qzm%7YA=XJ@Lk%y+q&rOai!b4pXLogvWireInc}H!S{ID=~_TC#igVze-KB8Up zYfYR_#He9WuO0%gWI*l0A9^n{RP!=&-4eX4n%|ym5C_37Svwd3l4fPIbf+}c&m+Da zg^BbOHye1Z(fx#>Yx>m6{yxU}6ICk;(V(CXu}Oda<HU4mvDAR2DdFNw_lX_J1u@cc zqdA;3zogr>7t?rOyG$copL7Pn4$#e-+(jwE59YgTKNvdqEMPKC2AotplOsczig*ok zU^J)(i}V&q_yH@6W2p*}zGqI(B>T=(d#OZwIPa=Tv*i7r%*?*9E|}KFmZg_vWZCz< z)Qu>1j+dt0*%(_3P|l%QLHlsm9+i;U0;Gv{-RORuWe-xRB|BpFv{OWLgW=VH+A3tR zoFlGKLa47wQiZ=$lxprys9M_l*4b<zmCl49nbUw6P<&SFuvBk;k7s>*5K9<)x5f<7 zlcyF~8c}=RX83p&URNe2ENty&#jAB+U3kFvEf*P?q=ib-%2XJ}ImmT$L70B4TBkKQ z<O477lv#s~t<L>k|9wu3X56fjouF-9^smY9E)f=Mikb=idj}?O(+mBTq^>~SI8C8+ z#_twhKwo!e^SxujFAxIp%0?%d;p2`%IxvpEZV{(Ys3b%hPIv?$;vYKb%7>WTdN-|w zSxylZUeJ(Ut}5odiFT|X2*+L*(%Sa!nJJ8^SitVC`|-XQgiMEj73%ufXZ@inDaV{W zWpDx=Fx$a|XX&4#YIt1vAQrFXx8TB)DKIJlvT$c61v+zsR`2y>N_XVm!LC~5jgIcD zgn`qabKgjDfY&N_kL`Cq9wK;ub-9%xBb^*?rtrOM6HL;uu?qj*6^82>xaW*;l`%W; zT3aa&5zcxnx>i#vZJ`Js8`KITJt#Q6r)e7wIfuFaVV^&=;Ds<yfl9cl*tJf|r(;RL zx`}5hN3L1HOtkY=wN6lEUqmWV&j2?oLoL-zPqfeWfstzsGRpc?w|hljdmOL%xfI)e z71rS5W#g9S(>m#6au@zs#aeTl&={l>|H-&uS6UZ#+Scav^%~T<l<op(U?dj0S8F;K z8B=`2s_nS$qrK=GEMC5}Ce!vm$)`eqD`vLMYS$@JCK4Edn4sN<x~Yn_^tBS*51QNA zVzsL;y4UWQFMVlEO&2AIA#b;+wx*JPKBO(~<z2Us7{Ct(rX*}sd@m1#bi}2$F9mEo z-V&9`Hjq4nZF(H1_MndZOMZORq-mCphVmKDE>A`nQO7Pg+X|5*#L`~(88u4*-sA|R zn5S4y{Pn;o`FhGH5F;}|#wfUME<7c3G7+giqg*l<)29=D`DG^)ZtYNZP$H;~1bVyt zja+|V7OM=ZfWIejMB1O<8mQCLY4zj{HUQ)woh7OPf{<hT0I)Ju5WQtIdwFus2DoH6 zb6jRGS4_EH$RJgAa7p&fFHm1Vet+q>t3*@llyfq5ab5+I&#lN0qY5plUR_+M8r|zI zH0e{r#wjNTun=Pjpm=D37U#gP3bp*qYOl<W#F${mX3ou;5kuaA17I?PZ7YL!RZ3kD zA+z$HEZj;YjEdzYp=0!w>JO4V&Ki~|8|=~_sMHIY)}tOQQ$IR615chr|K8OCYTD{{ z!$s0fFgQ*fQ0^<qR+5hz>8j#)O`9*Eqk3WPiIrUgcBhBTx|ridb^@^QKD}OzcI*4m z!9EB?EN%DV`00a!H$hh$+B~&n9GDGIcOiGbRa7HC%6HU$qwy_atH-dxsa`ES2F^C> z^7@#KOoASi*G2;)4xEn-9MJSG=ZS(8X+=|W(-c#wsf=q{JWY~6tXkjnX31f$y2(P5 zx*jq;`0-@dlDv3fiXTXGhqbI4sp6D$L1BS+d;*VYeJ?-qK8Mrgnaca*&aU{I9+k*a zQsFLeOW78o^dF;aP?w{h2$J@^wN5eLZ-AxOMf%dk1XPvLAELQ=W84yqzE-5?8exKF zc7t`LD}0jIXaPq63%fnu1Z98jQ+F=gZ|k=<&VE-Ya?=fgFInJq(y}A3;9Y8P{F!Sm zn|+a?9gy;^8A-q_xe$@e+)r-6)<lGHpACn3YfU1pk>&bsp^nd0I;mbyccSD-<2Bh= z^#D|x|4$9;tKbm!T%=I3Jjiw@N`mz#(b?OikQN2&xy~u(=CRe4&GA}sb&;fV_SUr8 zroWvnNZV*8^h1k%uhuLFCiKePK$%n3XJH5f!F^a!s%xB8kT~F}>8FmT*9?Yh=LW|l zk+3^iDdV;m^abU@6Popv)4{9yDLa5CcJK>9cOs7b7b?s;--aGZ-*f*^17a}ZFjW?E zwoL4lg+9GwZFB?WVm!W#d|z0c|20Gv#LnnnJQ?mDq(E4uUxi*B6g6#CkWSKkVjucC z+j1HP(zmVDd1sCsadNB(VcmVC=+xv>6p2Cjpx12`QDNMr{=oTh$D-jqz~^YC72iG= z(N-IBB^Z7k4(8=Va<m?q^(1`Vsud|Pu`8^`h?$$oNJqCT8jiMTH>8!YNW)lsg#Mrl zvQLy}xzlvQOg+b?<+PbdKZf{9?!@w>-(BIO%=PO9QWp8~Op@F=c5vs-ItuK=AJJ4z zb<3N$5Q(g787`3^^{STuyG~&P2Ur`T_1mDEn`$i<f1!qA2sRrq6f3cM#;S$eI95+x zq+ywUH3=>A^+$2vGU<))F_Bp{-<=(>-Z86{GX<HY5^|M15c)&65Uk-F)Vgj?j;5GC z-l0da6V0MOs3XFliSo<ZNBkPhC&L$#3(BjUu%A?k;DKZ_V75`%nM-VO-0@q=TfT7~ zg4~^HOVK_y?od)&glwp-t@}~8nAm{T1TktYZ{ajw(GOQ8;7c8grLc@$zdI7KjOPsn zNq@2H)_?x6T~-)E*#G&|ulh3^nz(59n@&m3dUTtd%3X9oA^mmM_1-S2&|6RB@JBn% z5|d3jmlW480T!==lu4D8Ie)hpwF1VeiRorSOB&@nGF#`Y7B3ku|DN6c(822D*XW|} zW^Kj=iW>e{i){Wq=f8MrXS*GGgEHon7M05NoI@oH+Z^TUESse!ujkrXOyVr@1o~e? z+)#2=c~?yJsJ%-4Fla}MeEO1T=EkaVsU;d#Ry8*U)PObTv_KpsFiU9=w{e1YYc8T$ zYFN9@`Dtw>(vGcv=|pGR9@`L=s&d*QSm&Y!-F9iXF-6_#R=tk8Q&$AC0-2N$5_r>` zN)Owq@i^QN0khwXD7Q%!xBFbuC2#BL>iap<ZkGE(8L(9w%ofSHxSB`5l}6t(#89Y< zKR5tGmG-ClF`69G+TkrD<Q(pp7-lnhq&`&)YEUF!xb}NASv{^1<yVyWSt!fTq9L!U zjuo2mb^Cgxw{MtIJ~<nTMl5PLtF1eJn$=CkuW15*mG((L{~{tjPrNsln1+Wh5`Pp( zsqm#>Z=y!L(xfR=Rpsrq&$EU})qa$eE#N~VJrwX()kLn8t{~;A*RKg<oLgms+FwsL z#8>9h$F{le0`H!l+dh>ZvY<I<*ac16u_k}q&HB~(9kPA$+*(pB`K_dZ+FFieXZvN@ zMK_CVh_M%>%FFH-4vk=2rFec#_oKHlWUR^E`V~?6IbQg+<HITQKSCIiW7J}29YBRt zYD-c2@Nx>cA2BMbVL^MK;TK?mhs!oA{QAes-9JOCHX?h+lwmobi}R1AQ~hrk2KwC8 z4)KlVO%1y-SEugihHUureRcJK-JgiX9S&O~k<-H2=5p@<1z=!fY8`ocnFBeF-@_*t z-5vwCmK0#Z=er;aVSD}8I*dku;dd>>fqGls@MOl5+_DQJ(>3Fzu|AJ;D@HP7eV&Fb z_gB^I*S?K788_5#H_nSWSu&VsMngE*?AAXJf|Vxc##25i<&+P$242$eER0p7doIUb zyW(i}gK4Mug}K%0cJX5k{o|+Yn_{!+ILCOUn-TAwhP3J<Urgh6gO-wu0B#ZIW{9CD zB)|PQ-RKG|_@si%tkfuzj*YhfQ#UD;E(Lo*QHf(@a*q=(3%9l+2Tf!?b<ZaE4Abe0 zQ&oE9Zg}1-mnGO1#d}T;W9)Ld3CRPbXnOtETu~T5i_L~Te)2erzvd=i$UO+nGhd$x z!K3DJ;>&fr-clBR01@}rIRYO!$EA)-afCpKwT*06eiQ-PK#X1<TuUbSmA1|Ie`EYi z5A+;rg%<?tPnTNM_u*Cx2}_%*Dm%7?Ly2(iYkE%d+qf3r+f~qCcSzM{%Np*%1ZU|9 zwk@UvQ*idamVo32FS_~?>|F-iMm&j9L_~x>*;|kxmjDCVcR4bBESIP#&WEqO+Yxwr zEx_Lmw?svhhW@a+60I(+KRipqj`-9xH)k;lPrWP`=!drEN4TwW8$X;Yi%cI)0S8|R zG2Z9R_7tj>va)vm=1tjR$)3kJtjR*F^<fj(lcWwAr01!6irW?3qfya-e8=_4=azxU zS6@x+0P@;S+=7Tk$d;LXceBG7FPRiNP*m1b!7g`sZQGK>oF-$r88Mc-D;z%FqGK{i zl(TAsUBxtwH=3@E)6M!e_MwQi8xDA<62?boX#oWT?M5(fO_zyY&?(I<lzc(>C6Hqr zUoXBW7&hESYRY-mYpc|7bh#r?o9h-X{N}PE)g=Wu1M=%jwqqq0Y=h#TH<xYg!;%tn zCM{qJ^p8na?G5vL$A6Vb=NxzVBTwrESz#N*C&PF=-ML%1<dS;PVeZ(jsWdBMUMz9X ztA4$(S&NAbz*QLH26mE5`U<tTd7TzmN);TJw1ybhR*IhADrx&JI&paY@9i&wT>e9o zBfp9qI(A6lkleecXu}Hvq$B?T*F*)#{{RhwVggo&|ApMd1(uKed(l)%;QhZ{G%10k zBLW9xMjIcW>Y-m=61XY&4|eX`mF?eL4jppxM}NB{aO?Mf`i?3TRyU~~I%IqQ@F9^y z|8*QbIDLGP^%V8c^#WQ!R^ZgX;<aT3Bqh@h9r6#<a`E=_4pMe|Xma=$kt6?CP5%Rm z!;$DDSpl(sIX)fW1~G^Khr`9w-}nFHGQv2#J{aGjLnPrthXntZ2CqHQhc63A{wwSE z1K=<WZE#sY;$Ph10M{Qr`aiOjgWP=oAAO8Wwl1qa(f{Sp3$Vi>@&A_m&*|d})cjHO z7xK}I=z+`sJ-XQg!M|LQM*nd|KwAas?*9ME`WZ4@i9Fz~JZL)q2st?ZYo3nD{KEwK zSF5rs2U0d<(7#_5xQgDmA|Ueb91o^9D5o7cbV&Kcp+lPg#rog8w=exedhcH<YTT<d zi-W6e2kn1TUBCJdl@_|?s=(=gZ_{`6;5GyQ_G+S~<pd<u9TWr(DIdCd%SJIo`=Rec rZ8MJ$L-lZ5<L5ee)yypn@7;XhZfj=ct*8l&F!u=Y^|^QFrosOKDYfev diff --git a/FASEC_prototype.sdk2/system_design_wrapper_hw_platform_0/system.hdf b/FASEC_prototype.sdk2/system_design_wrapper_hw_platform_0/system.hdf index ad3592cae130d44943c49a10ac8399e348d2c84f..4f98153fd0abcd5fb80cefeb60ffddd21aeedebb 100644 GIT binary patch delta 153284 zcmV)cK&Zdi+#uE9APrDU0|XQR000O8dSGO+4POEddSGNp-)2a^qF(?2W_Oc%0>l!F z&8jwh{8p<S*BXs?CvV?18?#UYK@op^(&xI-sN?_OPw_0Id%)D5?o=F8XGhTbu`6l= zMzyS6-O0W^L2Amvy84*P-u@I5?6u_t1GxWy35K)EJX4Z*Im~%d)x*U5KN);`4pWcI zn^Uj%J*QHu>kpk%x3leX9)5Y=!4jIvH8u}V4si>|vyJKBb)GpQ!YD!Vb-RDu96oOD z-r*1L#NT*F8HzGkvMeLMHPa1(b9^vNOs$EZ*SvNce&_4}MVoZ}3lB4?LG;ug^~~&_ zAv}!%&%S%ZOZb)J`rFfk+BER+AMt|p{z$~msm2q4e+2OjPXn?Q;4X|PDcb=Rz#;>w zH}K#QLm^<3z+Md6kl}({>lA;a>2|zV^;YxEYc&v9gb|Qd##J2VvU08xZMVa7cnb`* z#f7N99Jks{wf_vSdY4xcNVi+Uk<)tGhVXW2xFYqlJ+RGmHLdi*L{y&@XWnhHx-!&J zPB*3?7!xQ~C$Ee8hqK7kyRDDgIRt?UX_I-fFaZ-ye;ZMgcq1Vb=v{y1<f;1>Y}%<W zftl6}`(8K>Z@`y;8BNX(Ah93xE@dN~<S`V1%NJe5zDLQ2E|Meg{v=22`;oliGUgn3 zFOqZYdz9RE%n5IM8*`4`Rr18-9{a&?GHABLb%6_)P3#2VUWU2}Z=njzl`1wqqm5FJ z4W$<0_9V1OVlOfeEoFa3xIN2^BphYtcO}UJfy!(*2Qbc$xyx26g3G||QRaxXy~sSY zlo{dnEHjdDl)0B2=*w{(SL#G$B2W<HxinzI7O-gx*wO_QTy-Pq4U>}Mzi7mkb=4`r zy^L&%hM;(-h#RJ2BNY9iiPR$8o`e=j>_uiXR~_qWZ>~B?!cl)_aUxR0kw45E=d7zv z!tHUKBi8mJvze=oW!{^sPLgnxIpL}sp{1)vKr2^`fL5*=0gYYt(@l8yWD-qNfPI8k z5oX8XgK5ex4oAz;{c-^ZmiOV)J%$*{yg+K!CZNlou?h6<qSIv+k>0P?%W%17QYRvf zc>R^gnt<Dnya|62rOQl**-&5tv`1+PetS`2>Avv0zfZfv_UE$$a=1$}si~YF?;`;@ zP99xGKU33?B_YEo`&f61q-Ch1)L%@&UJ0F^e|C_0S>!MIphP<5o7XB~_B>lGHs(%` z8xn<gnHVyw2xT3Jnm<>w!<1``H39<dN}nf%8X*)*pErMs2SRh@udqi#WD=6PDD#sM z9>2#!Nn0IghVN;xN+$*7)ZGU19EEOo!yK!qu(2VviJzpgVV*jw>uJc;@gsT~<_TZ9 zgZMG+4D-aVuzw00{8F3)-#0<A0u2=-hew+N8gHDE;1znyAJW7y#~N5S!78OMWwFeJ z+3fPJ;aY#mpsEzVl*zzb^tCdxLHniP9E+&3YaxrDewMC<xf-cFr2a7t3v=bKe8v1x zy$W+RQ2C<y^Ry|<(Mg3J3aOj;NjenfsiV5)giIYjqB&un@Rd7=AJdmGPyEWggLO;7 z!aMeH7-Zdgj@V|!t>=^l)0mBnx-PK213q^)YL9>7{u17&-f_P->81)P(r}pN4zK>j ztA^uLcQW^phU;}wW!Z^a8WIMTmE1Cz>uJzU*MBPs`4&Jngly<Q2Sj6me!ZXSVPCty zY(uwTI>vJIARKzWKe`Ujr+zTu6IL}Hu%jEXpwV=K&2>7x;Ux!7Tv(J$z+dg){)W}~ z(Vu^83o>|JF{k;KY(+y2r<<6a!<~~}2afHoJ_q#0MxMu!Bo?F?a(Q6cfP^QPVJPG6 zRWH9A+f0@(l=G4MYUgwIOh$&m^#xeh*D~GT9rbhVK^7v)bWlP&w`+PIC~K4Kd{b>v z`4dCB5tPZ1{~)mJDH$n-TwQ8gWd3kSxAcEfE`o`FE}P(*Z4O;u^fhT|N5koXHx{q< zmDmn0uZx1%$0~I<GY`c1j;0JTtc;RwaI)Wg*FZj_9Y%a*Gx`K9A!-&^3r15PuVQ}L z?VjEp9X&rkAHJ-hDCgyH{`hb-zgxY!S*?#2kMr%(>Iwe(=lo1Y^6%fyzBNw5=HGu8 zXHR$a<JYg?mtHM*UtT@Ux38WaW`|FU+c?~Re-zQ@V;S!n_(y24sjb93T;9)a9$AZw z-DbAlu9oXvxL8491G^!47}Jqr0J)wX*9f(qJuErc_WO3Xe1JAK*v}@E$*8;|IS+4V z53Bp{9Ou8kum4nVGuzC+tgv&=*G+%Siw4|k8*c9&R&aTdiM`u=O|-F+=ngLThD2xc zC3HTFHKq%C6H~jL-EURofW>Bb0#|t%hdMCG;{CV9)(Gg!Y#Ywj97HY77f8I%cgxM{ z-=x<Hht%N^i9IY<P(7%xc!XKL?2>Rg#K;hbPhY-=508u3K6F4A-@&|B^KgIryxM_@ zt<A=P626_?Yyid0*V|h&b7`EsdBYWy*al?G@@^MyUc#I2yJh&iTI{}Xu*3!+1J(|^ z1Fs$SF2V`^z@gNtfvZzjpA(mg-xki%?k8_B?svnWH<<RlNpCdd#EK;Y-G6<0dW04^ z_seg~dk&?x&(MDvu5O?&-T8lNx4mD@m-Xp(x!?%YGR~p3UAS6@K!3Rj*8~>_FIMb4 zoV@gB>t#J0-_PdD2XK@eveUb`2*+N}S3EHt;eg8(1vpfE_$BZ`ak%lZ{dxm6!<(he zdJ*0wTB2pl7zPR#G3t=R-S?+>l3z|fb&LB6%mI5E=Bm(^=Q!7J*&u&+!MB?^AKJ+$ z*n~rAsRCT!78+{oHjlAc>saO84l0pfI8hytm&NiM%z)I&`Z9n0?|Mr$|3E#9hsX5_ z+UGzk*OY&GqYxE=H&0kInF1_!7j#gdvE=RTe7Ctz!5*uLZeDU(F76aD57%EG!pGb2 z9;R=+f~uz3W_b%`!4Q8^#irM27PqOHqh``TC-p<scr4f0Y!iJG_89>L(CP#F*M{gM z4U9soyM<K*2Px3-eB}9^@S;DuHejhcx$64E?u0?}9w!_{`|Ec1_)s|d=v`q3x}XT4 zll5Y@iI<Ata?tA)QXR}*I<wu(PmKR%A;|d4_w8y9Js*2(2%>*(VU^%wPGWCXh+;5X z&+f?LB0-{DAmOTDScpOGSO%Tm$VJoM*WF_{T;4tIR>>Gr$vhd7a3IEWje3avIq-m^ zP-_$v!j~ktTG}q1c!{vg2r6vA?&ygRvl@1?dVt8|;&JmZi>Eezhz@Eo`(7xgJhZ#) z4ho?H8g2Cx!B&57J-`3DScU<_{BRSd|9A%hEks6bSkMcx36|V8Ug<6;t9938ox7Zb zljP!Gypa3L+xGn*$w{kl+I`qoQ7}G?DnH-ZJf*29z5MX&!{`<RNZl1^@&Ya(oRUbD zM!hkA#Utb#AG$}FG*)(rFWn<l*Uf_eatRS340K=`5AJ`by&SJMyxY;(Bm~EkxWgsn zc*X1SQL!qAgPcGyT<R`W^8{~FffMF00?_JLj*vVEz)(W?k~B~3yJU(Gw$^u{5-Yjw z#P2NZUX*gB0Spzm(WJmfYV)F`kkkxm{w1iIV_LzBwogm=59H_O_mA^GF#7<x3qk4l zv&IFsI0Ju*XJn?&F2I>i=CSnj1-8WQ3`m$X=t`>Nlv!Td@S-qTX(35;;Ma*AXAGI) zYpP8yi7=19&5I?6N`x9LCXJlzBo>g^<+eiGxygM6pG=UOW}6UE$D3_x+s=EcalnU% z`9p{X3Q>g!szsHi*T92>kcCaSsMxr3HZUS+oX~$S*TB25lYuMbuyqLiPuRvnbabiN zB($n5nll2*i3Fw5+({06tPuJVcHG}hG4v!4vU@>4Za4-4WCcS|vc3rO8*8yCWCdc& zEYI9bU}2&6+@R?&Tg);21uuVS+=P`GbeOQ@4nJl~O$*@^EA@@dgz1z#0aN&_)SA#H zbQgcfokVIaf=;W^BN7t4LJ1?2!B~ba^A`mjUHVn&(l1??DU9a2gymT_-8Wj(J!i#b z4s%h3TK!LYQ*)2&y&eO!8Y5l?gnbwfk(lDb#kXAFP^@x<j7jWK=CJcIY0Ej5_Y<&S zqIf*CHH$8zAp^*26yMdG^7~Z0CJWk%Uu}OM?@2a2p?YE25#|ct_dYD(EG_|{+zBAa zeg}yp$p@Xb*4!x2k09mhv7m=%jyJ$^3&#$gvUM}s&eMR7$eK7R0h=?~(I<B$gy%=| zAq7d@dRS^oI&vLT?&XQ3kmENsUXZl>-YJqXow!QMw-CqC7DD=#g!)o^>b*?y;n;r? zp1&x<9;t=AMk;tB8x!Y~Ii-c!{R;L}IaW}>TnX=L6=5$^bZ+zTK+g)#J~v;V(DJ*( zpf~A#>`kB{SGRN74gFp~?lLfKkmF!~I!>5kauuLaYy3))^a&s*6D#4>q5?g`^GbMs z0A5GNWLQ}ymeK;eUG|@CV0><Y;E;dN6yI0-&lVhJR(b}2^t-Ry@Ed)pC(rZ+OG{2D znw}t8VQw^-)<r$i?+UEB?*1B45%#^zmE=2|7UojIOQ8iqH_H^7JL0=tJ^b_O{vMnT zX48!m@d7+MYb-!PBV3-@OI+OLpTd#~Hz|1mP!0Y;%}o}Vg(T|a#Y4Qo2#J5GpmaWj z<B5aX<ntIR^e3Kw*`2`Ic;*&BRE6^n!QfH&RO?1|uS?*N<h?T2y)e)h?t0<&LYQ57 zFi=JVUMs$iLs(Ds!Uvs5r~xF5V+u0WT|E~P6p@0=tU6h_-%GEHn2i`Y70Hem**HT* zQ)oVF2x>oSEKM$GgYDr{=hJ`k@dIc`ePn?#^P(Jl0EWG@1Q@ah8o(V1=t2$1jziL9 z=|PT?EI>mJI17vkY%Sodz);SpBk%-Lds(_EfvJnpx#W~<wK<z;a!eL&VAwyXW9bbc zc=aYDkgUMBY;T`jj5MKhtS?Y1+y68c^@Sw{N|?!~1RboD(11zM*`<H!lrSXxX#-v` z2{)E*Z&`uEW(Q#{J57lyURH3NqV4u$T#^w=-Jn9GyuJ$DSU7;vv&;!^rcPbE7X!UR ztXyk=Vwe~zUSgv$VhEfVGY*_G5&fY{t_+O*_eX<KXWH-5B-?xXj~cv{d(pd``rdgT zS7m<+`n;I-`*Z}4WXpei;+@o!LwsPEzwapjef15(UJ#D^X9pKvKL7@RH)<|fbh26f z^e+2*?~t)5pU5u=3M0usv$-^wrH5ArPr??xG5DtWD*laE^e*1(k}eIxRiPo=7J_hN z{C_51++m=&);5O1{2)})u<KqXQ1M%j#YOlrt%(m0V`|gt4cmYHX{Q?!C}_TLae?cx zCZi%yc+tBU!40Q>#k3pE;tLX3Do;_6o-ics(dkaQZMu-qCoWaWq6o&lakt+ac2jLz zis`o#QiBVF*GI!nx9@%C8fY{{@1OB$c!dm%;&KfCNj`mjdaMA96z{di$i^@T+gIK8 z2ToZfH!82wz3_jg{Yi*8;NN}-<ED)uAPuq+)T6y#L||xCD6D!Cxdmw2UB(D{KxpGz z7@1BxN{z>`-61;A5c+;hdTnR}0x^%a^bwYZ0|yQ83icdO4N7&Ocs4zZKqbuUf#RrV zG~d!1@+;Y(=}|>cN%tq^RH5Qt!2>86o^=T~oCr7V2{(V82)FDBx2y<9)1n?uu1H^< zjK;O+XTu%^Hs-l#f{6|%KKy44y?yw^Ryn4g|2u(&a3)@f;9w0_6@`6weoy&u#aqnn zu=9J60#SMW&cG0W#2kKafQJLIJSQqTbX6oNq@mYO(NwKzxU@inwQx8Z2Hp15kLl_x zO5B9BhYWw|MNo0PqeCllg!-`+(u(|v9h41+SK}tvhQ3@^<CX;!_;zimaqt>KHds0# zfx}`x=uy;}w#gm!;s}7h!GcjE2Up%*YxCz}8n%E<TfkNVP<;ap?)iJ|5Abe0G$$Lx zv*7mj?(}u>$}?_XhxoX=?+s*cm)GmG6Jeo85^+mNUNLXs6Xx(oiDT;dle&zHF0Jq{ zHb~@xVvz7aFzE6C<DGrQ9Wf{`Ek-;vWUsjs3_3jYn(bUQ90MClMG^!#S~%9^AXf=^ z-9nS>C20e0k>`_GCLj(~Kc#OZ2y(R0yuB5Ahm(dTQGYb6K54ZMTjY=0_60oW-ta?6 z`cbz>(;=Sr(?n`<dMC{dw&Il_f$FlNT|pWPwCt8*(U{GGMC~}KS=_!RL;gC3RLAR6 zf#f9g+i=#(RA)vNVB;N_F=*U}?D);$Ycq8NWiJQ^JvbJN2K_|QQ75gkNqFJKU2Be2 z4SCYw+8FugG$5u@CL=sXq#1Z<4;IM)Je(FcOj46>Cl~^oA(MzFKLYgjlgTGs1N01H zlPD-c0zcZ5Y$&b*6I+uUDJcTaOp`|`&wo7c5Fyi8;~{FrKT2yv-jvI|2{KycP&}5V z_=>Hx31>$7!j=%2pw0kIAy*pl4N<)^Krxa{9jln2Q-v+KHNrnyMy8Bh43QPOzh>+3 zP5rGpcEBM}1v4hN6j6;v1c4l>A{zbJ2(h_GHHbxH<ue&TjYZG|oz8k_imz~bLx0q7 zichsln&K;7Fpb#L3tNrNP9t=+!&#_G*fi*F9oY2H2x0AT7MqYA&az}DQ9DL8@yQtV z<{JK->`3fkn<SdzbRj~df0XM8&}}7QVIGn{O3=~AuJ8BY;wTi6!sR=X-sBvpS1`;t z2{BCvI$s4NKTgQ0lb0Aox?xC#X@40W)cf{y+?YY3P6nnkDj5{VYGtNVDj6Ia1tF=} zB#T065vhQrGz@WiRXreB0hkD6GF+%*7{rlqG?q9Lj>Qs3Vol26u-8YdQ}sm4(}yVz znLc71da}s$VS*#Gnab!?J!26^v3;mz$>YOR78xHVIMQKbMjdKVQd#ya27mR8lcR{` zP-hp?(R79jH51a9ErUm`j|h)!XyWxvACGL>7~;vsV|H?7Q;80ET;)n_>MS_%e0Y?% zAGRkxoL8L#0v^9;thv2W4y;DX2||}VV6{7r&g&3E`jiba*Q8`zxmLp|4j$ylP(b*H zJ0~Ryas-Vrm=p;)fl<%fRDTEtMa#Xo>RQc1I(SOLtLIoM=t+XB$)_S7Q}A@ymV{Sx zAGM?bHe!dM=2`%YU93JBS-SX4F@uZgX-PMpjG@DZe&-xa=Yn(*SWHcyOT5-*AspTe zwF9$Z(xe9w(+O!)gVV)^&2}fW1-%|ygCa>A?J#a_KpD3-px{buRDTO#Hq^+4Yzu=! zI2(G{Ok+T=fm=|Gp>1emK*fn_`3++10A@oC!U|4I-))d~8wPN;9X4<_i`_Cz6eGf! zXeREM0lkV0WsLfB*wNGPWD)7bw6jMV&W=z0?jC7+La~-I;EFn=)U#oqMz|J1Hzd$X z($O%=NNWQ-P{wvunSWSUJv+HIxBxq_4R+x|nWkpNDmslk9?sUzz%!kw0AgzU03wtu zD$Rf~W@7OOV(M9G<0GqffH*m$u6z`YQ9DEg7r`tVB1Z0kqOop@nBjyL9~*Txj96*= zqX6oR{oduJc4J6{y_gJOXWavZ#-`Zm1=ubx@j96FCVmVY8-Jw*aBQ9yz_Fnk1CORc zvrijn2dRO!gV+FVAvc-Y-Seq#U)0#P;Ii?#-;1w2tHV2_g}uorAhgHp$F<7p6@hRH zNsIH(V=o8{gPDlrZ~{kP_!0}hpseNm;06V>3;oy~PM|%3Dedm3u{Z2=JCL>+8`8yg z5aFn8bOjcaJbw<tpx=fLR^7{901{hs?24#8^1JZh#+8Y_qZ~(o{kNbCo`K3pV;jq^ zuEelex2<UhD(NS&aYE^>PU#-mp~0c5B{&Fv{2`q521d?03J%TNv7*E=w0%3&B%WY8 z#+MhM>za>!D9)#TU^0X;LzYb}MQ$WbM-?qFlhEbpq<;~Xq!a6|oG4Yi56H9yRbR)5 zfF%mm1-58gi)=?h9fCt>W!P#NG0+vxy4iB9J7mGU-Es=vxZ!dPZ_&Ls32ffKSA=&M zdu;#fM%=iIugJMgA72sPxRY-Z*iLf$KDtO9))F}6;Y+7FHUj`S(BS~;a0~#jgoZ<C zkuX2*0Dp)$qSoRndFr6W7J|f7v_KMv4O$S1a|T_Am@6&WElwAbrvqAiS!DOkQ|#v1 zb&JG$GN-O3e4G%EH^*9I69XC!s(BLKJb!MSIwxrkKKZp2fVT~dAxv~-+5{XOCEmnN zzW$)T!(!M79LZR<0%wk#*2O_g&6THRsI(N$27e67J8Zz9z)J+vvI*E6K+T^BrX?GI zL5+?Grb{g)n=Y7*Y%l<rdrQfuMUjEp$jCr#<Yb`6vW}Y<n73|+-Z=~j?%CtWLoIFu z4I5ZHKnP6j03oQf1B8Ik4)E9(@WdAI)E4ly4ItJ8S*V88Vq3t`B(<@%ku;G7IdWaj z0)LJ~q&9jXv6&4Z#+o*87^d04k<iNq5H|!iaOBFN<xp7p3lj6%a0)|VM-Zw@Z0OM> zq=jm5r)5eoda%WiTm*(8F%N1q-g&`*2vf>%mfic^lvfIfqz$=6KsZUZqX;TBrwG-A zQ+Vp6*N2NbL2o3qgjJqa^adCfg|s)#7=N<w<jr8(MR@qQUMdj?_i`MN5W<iOAHtQ6 zkE1@kyB801|6_YF3Tja8`cchIjHuy+D7wRrrLQ!t%MDK*af-lR=VKayI>r$Z47!7n z|2a&�gy0TDAY`KR(w!KHl$Ucgz2+RE>zU+m2H=oz-@BbH7ZyEGs>!6%oLxR)1<6 z)N0nwS34sv&f|{F>Z=$cb#lx#=?>!KvEk$9VRm2pullPuCuXXErreWZTn9Rs95+5R zPfiDo)0*FX)zE03Aad5hL#X8b5D<Z4wnm!ibU*gmUASHijh}jx_=&>f`rFgmz!+UH z?!s~6xzH;b45$@C%cxV0CuPJ|*?+#*8-~|@Z_<T!t{=Pc0Gdq-$OZr&^5_`!B#fuJ z4HjBD@!1v$t(OQUBdkB>bKGo-;*kL?5?vqP-}>lx<0q2Tc+kO&{oV+4`k88$IOI6< z@xShOe-D=T%lYmfN*l^S7AHkYKo*xAlz=R*K(I!JR#cYCIIa>j#&MOPFn^BAL0_=U z*TbuEW;xR|Q2>X>9$dhiZs09@aA3O+oc})ULYRaOA70oKq9pkGzv}<{2!7?iT21xp zfs+i;VM9ikwY@gB!;5Bc(krU3_#iUWss=KReqM-;dS?xMYUYMehaz6QP6a}0%OMEJ ze}t<RCNrJDUi#y(^Ts@i5`Teh>5srW$Gw%z6#(!<_`d^rl-_HeScngFBVb4R?VxT? zAAud|liD69CO8I1PTE2A60kw6fEIuwfxwRRnH&yGa13Q|0#T2>VGvFbgC!^FmJF%c zsSYLy0-8(|b^yImtx-EXtf?zi2q+G0&>LRj>(qg{G9)-$)Iqqhv47E+4|^l!IPifm zyU{@Y5n9jzoQ2iuwYNAV4fVrDoQI2ZIG=Ffg2JcxQ14R-eZCop-skFy6{s&49e5>8 z=b<#33C>@D)Fw_bu#9rb$WKwFP4&%P3XLN(z?N&q1Dk?Rsr00YY@Db^7SX_-5i(SE zEHK!h+sF5zqk`Vx%YSUMtZkOt<*xR$S}V6$R2V56>Uc;57Sv!85h031zX7Y^!0Cy2 z1SYT!R!jN@S<CEAL4da-@1Xn!GXjCIiSmO^qT`IQ>Jc9QFOWy?c3j6Ppz%fq!s0xH zd#_fs8El%odZmj9!>w6s!T_5ltoA(-;W5+(rzoqZn+PnBHh&xOqRFPi0+jQ15);jo zynMj*NM{G!hI)3?^{FRE-G;j5&{H>qz?MT#4=M8(U$qV>26osPFTN&9pujkc7gOlI zVLSy=@D8ddng{AQ1o>!?TjhzlK)l}@;{DX^`6&)JT~Mnay0p{<IrDb03W9*1oZHz1 zfkib0Hrw(9w10~ZC<mE9Up*}Z4id&-GA{%iP4iiifVS5`(Uj0q1FQ|?*xuR>s5#r( zK@9Dp0~b4pIB>Cp2#1{=#L$*HASEd-{(vB$g)Me=KwxncBpY6}8783Zb>KD^fC=b0 zMk-3IbwEebRtGV(r4HytZWlwK80deUm_S=-p7%2QWPi0WP~sfknTumbE(p9c7jGQ7 zAn?vyG)^7apa`7#Xt|mOMc~8-Pmm>RCDcb!saAl-@i4;U|82R421Nx2Lh3jeFK1v| z`9uytEA@hxGzv&-%xy$KuviLDZVNfFz%cwDsPD2J0a8@!;$;Z}TAFLO)BvnJ4XGZ2 z7&MiIG=B+w3GbFUFag%m2mphd84?8KKQ0{D%kP*1B&E{%_NVp$wAPvwT*vl@``{MI z0=6_U;2Ldp`bOwS(3V3$a99q30BcX(%>;o3*l>{l#6w^*1qp1VL*q3l0d21Xxf9Yd zpK^{uh#dA-;5ZS<t&IGMsCLE#0ZhD*{E!+&wSO9u;KDEhO655UC7DNDllQoDi9p@N zjPc1Y+%G}%lI*$O>AU7TwHD}!mM{$2-fi`IZE*9XE`ZIPY}unqJvjj~27X-e49%cx z(8^djNTixFF8)bjfp4|2r1~DGuz=s!VTGJ33tG0rf|pXn_{|OrreWQ&K;p|C31kf1 zk$+?!lCV=t=IsP~G;kR+*X%S62DwSRpkT*MW_sJBNi5kZG~Jioa<iV@E2kt$R^=<l z1Wzgaq#sqVm5b~M0XVU_Od&(3*7&4UQG}{UK0{PR_Nb34`!s>IfZ5jx+)$MuU@9?T z$4}~Yj1&^x6({{dMhcCl2Z1db3lqnqzJG_;6L`&xH{Y$kEo*T7NNJ7eKuSOZp=JqK zFy4Y01_!Cr`0CI?_x=-w?u`;Ru}m(fQ3UkfqF8_a_*H#xioyo{?lm8U?Y)Nn5e__o zgUEO^<0LZla_ad|a%Cej9FSRw3=`TxWVq<>CNgx8%80yL>yC5NQfOH39fXD^1%GZr zx15A-SqgpZBs4Twa1;92N$6usp--HIhUO4%LZ3JZePSu}sguyq*uqWdQ;E>JGy2q0 z<kwCjLrV@fkzYHA{Mu6FH%=nIaTWQEgUD|zMSklf@>^Gt-#Uo=)>7n#TNno5j;0M5 zT$X46w+l=fj=`9FG$sqj^kWm?-+xkYw~(yi9FqYCrxCY}${KEA8GyT*x?5ld3|NkM zCNx{F^*T+EO^b7A)^Ll>0NhgUOmt=>w{vvXa0|}>+){400IlI1p#g^M4A%|O05~D` z?QE;JD{r_3X#nmzzg@yKAa5zQTcFl(j?@5y!)T{sHT}_c3)ULW(HdZI5Pv%ruW5_j zbc@#j+|kGZgT2@QY-i+6w`dK(UB#9~Yq~BC$Xkl-7OOR#V>Q5#9c_)vnHV?#tfka$ zfm+i!Py-D1Qm3Lc{h6JK(u@+~P3JHTFxX3-iqZ6?&ctYDQacA}(9pwP>QscLFST2Q z)^v{00E4~MwgFnxEkFZsSAQoi3($1EnQeU5%*1CVyAXhO6<QXa>E{`MfrJ;=KJ02{ z0yHDJo#Qj0FEerNH9HldY0KTpL}(^*1GM9K0|pnl0o-o9TbU5eNN(o{4d^?`or=-) z$2$|F8OiM&qP659ny#G#xaD|fqBJA9orAQNJV?`)I~Awtk9Q_cGk=oXIZSKG!!&KV zQ<0j!+?hztNN(pqttAiCwB=64YWi|#Vl^YVokO*jJXF(`I~A?z%bkhVjO2C>)>`sl zO<V3%yrwU=Q@qx4j@JN#(>i7wueF@wHGp=FTioI`V8Cu2JI=&wMmtadcN82jxC}Ue zTMC{D*vtfXj@XXfB7Zgjw-h`Rv6%_(9I_p|g=_$BDR?GiGZWl7W;=F^*#O*9@J!5R zCb)CZcI+0k0l1~$nV`)~aObG)*ez-Ua7)3RqPAn_s0}d4oVv118x!XMYbmr-$ad@; zvH=Erp>5-}<4n9}X5!8P8!(WSS~pAuV9T-2gllGEJI8Cs@_%?u&%$kkwUbP+X0j;( zXvbI$Fl5JCKbZ>PcB6fgiPencb`I5mzLVUxq1uUCs0QG!11<~IbSF2Ew;b+Fpk_4O z&T-m_Tbu^qW^zA~w!i#Bjn;ct(Q7}KaI*>SO+(Y~>0sdb@#U!DXxP>AMiajauTk)e zo&o3M_ph@>4S(*()-LX6+b_TE@1J-Jec$K_jqVz{E7yN3^)G^${0A<F0do4^_|xK_ zf)0Ra{0A>P*BZaEzhayTQS^s9=v~4aLGe)kxqVtS!tFM^`3--pi$AU&|8M=j*~jp6 zL-@HV{M?Fu-p=p;`0efqe)To|D7?MFj~I>k#~5)VjDHc6pBZBEGax3|8pqKOC;$E1 z?j=M-yO#wrhkpTI_!mIpUjT%^vb+CnLw|Eae-r<L-^9P*H}Nm{P5c%3O+uylhEZw0 z{qNsEl;5_C8U3aO0OVI%5l5|vqZV*PzdDV60NLp$5xy4SLzd?ci{BQr-3<9Ae*-(@ zZ$MA}27m1H#c$6~AOLViv7Ep!6Lcr=+YgI5{D1YR9PV)dQDqM<EPmv3dhCxnQ+fyP z=pTQpu|Lvx)-J}EUPv#c8#krku$i`;>Q)XMHq%Ja0Jde`j1ynS;LmeRLg9H<HQf~K zIBxP_40|142{ndocSk1gkEOW27PvMhE%dc$7=Hp^cf(0<5HqfBJ0dU+t;DQ{3Sn)B z3Sn)B3SsSsicq#4Dg?G3szzuxRQO_!#VAoQ;{l>z#$!XlEQW>AGaeBNXFeDRXFCo! z{Kk$Ap9`{>C=|?M9FUsTI3S?86Qg|EjDyvDz_-lWpWFRz0NncVi}M;zGXd(^gSPwj zuzzObncx^+59D!(skDZ}nxtzqHL*_;8J}<xKYls%l);t&7r)wQ1{c53Xa*O*#%Kn2 z%!k7a?!*%A)C3Nmoc#dLFNZ@<2n}v;?@nK<p9z6hR^j>Qu@?mRRJU0u*nWI?f(NJ9 zyKp#rSaOcH-?zKvL%3LOS9k01Y4bQ=ZhyC{^<7B6cnu9bisP1U=R?>VCpuWfo9@`U z-XFokkRUR=;u=H&`g?p=l>e(%Bmb^JM(XSU`Udv97n8FCuvOI5*#T`|b~)(v!pSEP z{h;ReF0bfsz_za!oE>~!FP66lHL?jr5UDg7JP|jzXL#Qp4TrRshQL?qn_6!eOn<y# z8z|hZ?!x8n3p7_+?!u?}Hhg-Rfxc=e7<~J%T7|G(TPB`8DjVDTBPr9Ma9|=9&P2K3 zMoUqye-P8(n{qd?k)di6bj*h;h0RL2qQR1PoCY0m)j38gH=z(V!+TU7Vi)$wpfejI z%E$?`)>O*XWB9mNK?#99$O=!KS%1j7+qbNVOO=-6N<HsuspsvK;u<=8R0H$6i==@& zxrG!i^U2ba=(0V6=iPHm=;eO?xZdt&uv#C73p|)`aik))Xl)`$9jAp<Dj%}1YN<|3 zl?nndy5jCjD*qEUa?KSi$A=S3+`K?`)M@zS*dvj*gbL6I^_H&0L?|oBp?^Z|!JI(x z9mw+L7?fNyA=7)f__jyA3q*9}-jmu<Mji46(V%P=gW9_g1lp;6xv4<yKtxCGeW_iO zyrJ4*V`J-Pc7FvoLxt2CcYVc^03GIlnXThFRk+%toihUE%G&)j!AA{xuz3!;!=N|m zeT2TFX9xZ6$8JBw$CSAq$bSnm{&YMMr<t&a1dZi~iT)>@FtONsFZQTh=DYR6x;?oN zJ>ms+44W;w0V5rWuf31mdN`V<w<UrB38R;VZ8*R-&0z<j_o)Xj1Jpy$|LFC5U@tin zP@2Tag1f}WQWCS5Rrs{rte(C^kycO#Zh`CB=G*LkRo?gs!d$!Qf`5Tmsf*`*>EayH z>K!Qiu`>$>U7;p!Hp|)KyXY;!aoA^7Vx#b#6v3b?RK#Xqin#83LE62cc~CDXoy4&g zDR6~qc!uJ#GLkV=IzbR87g4>3D9u68a07}k*s>R^1iGi|#2X3=Ay_IjklCh`HKaf- z@c5r?woXtkVyRLs&wqPXODS5ZSSh9IL^grWr#|{tyHg**SbU18N_*MdeBG4dY1vGY zsi!|`L-x;II|NUq%DI1>|4~9vLUjWN`RV1z^Ml^MpvKKzHv~_my4kM&y(~>PvUTaY ztZBs%RjHll{ZFgwlBN~KQ>k+HKCP}xnpPA~rMf9~T1j0FRDXMyvRDy3RqAE~F=!c^ zf_!&;U6O7no+@?oT&iy1oma_P<-r?IWvo>MPnF8q%oYp16OZDIAvGMSa;{5K4#iWY za-R3Doa>G^fg(@WAcvx<P&LnZ^QN>A2kJ4i;a}TpUzHO7QA8CgXSSKo=3mN-0Hx~b z+gB=z+bTp;sefwj(?yF`xlHD6WOnoW2nt19CzR(Sno1S(=VRijUCShIzGAM+notZ; zmCAYE|Ae|OXF?G)m8xdn6Y9F02}RIUs+c`bsOw<jpSyb+il#!vJa2cK8;7ME3Q6#R z?`e0~h7<lqo&7nW_4T~yM_6RU(i5y%Q=F~f6<RD;sbH7xf1?A}n1XPMZR2od(z+e1 zdDWk*&B{4glj-8x>4?!|;1y1e*>fp}j1pa(I~}hv=n6G)Q_A_fL=nErX)6V;Pz{?h zPFrPKa54v0+ES$+_GJ!kE~0u5QJRCGk-C%rK_?V?U$|~{DVhq^0tHRmCx-x(+~X{h zIzl0T7p=zof~Y$1r`WEfwo-{bOQMKaxRnZ(3-U|XuwffS`ygy`zJy=geqYbAJydd1 z=Ap&{*5{jW)trY9P>QzP#21sdP|1p4&m54!`3k*rWsVFUR9eo%FV8y^ir<zt$CH&1 zP77_Bl2(W16anK-S!;MTk$Pn#9AK$(`A8do`#{><2hx@VsZj>o&=tXKV1>#CuBhP@ z<;Z8z(-u7hTun*Xr-SItROTq-g<;N_i{5k+U4C;Un)@qg1M#$k|G@3pITmV!H(zh> z9263Dq@agiWc7j2r8}vIc#($op1=|`{F(lablxWcU6y67Rb%<G3rPdcF!>gmRRRrC zMx6%zC-Es)4?fI^Y6asHZk-?i)ejuQhixwi*Ia+JcZU|-2RLqIS~q}#Nnu5eB|_u= zB3eA!iPnK4OvqIm3peVd>*95o^eqSAx~tD4H5!wsLrj14sx+Sj0EW?y?tpMw5G>}} zGA*RxH{{=+PXlr(^1^F(`KRDa#m{T-4~ks60+8-S-N{wgA9g1pAIHqc*iGr|pf`jI zn%-pOL$jv-@Z;IR%j$l$emR^!KD=A4A)vpV&6n@+F5|n#Vd@kHqu_xz7WgEcaoEST z{^+vT_WFNk2dtIS`08^&KghI45<E#h3Sco8g`Zq`L1Pjw;G#kff{q%TbkdN5<2OnH z+Ssx}4i4Wa!K2;~QVi@%9VFQ2AP)z8r-K6T?B}6?@02K_`{z;|>`NsQa`{(^0l!Ir z(<zp;)AvW>go>jilX*t&bZ6&T=3|q2h8ME!=NW(L0F_n>?+ukuifn?A--PH%ieZ#g zYA!s)2vYdO6>s?*C-fngCx!1N>F;Y$VYP$9YpBD6yEl`ovx7InnsZPy8A=E~UL->q z^`~PoD)#QhPIjYCI0gp+2SHPC%8lkpq8}b=I|VrC4o3dxFwqjPJsOOmas6<TawvJg zfHQwJEScX#RfI$roVhotkw|ma4p`4XaJNjJ-R5h$0xk_j2o1d8gAH)d$5L%ZEP%#> z_bPJjX)qZLLezkUvO=s{4Z($QBL3()1iwEW48wD8m`c=Sv`B7fgius;If3Q}j8Byw z@fNt}pZ6y4LP2jd3<D^E6uLQ1Ai7IT=?s5W-23$5bpxo);6#({r6qQSK-Yc`-b7G? zYA*kX5~AODTB9=Q#w;O#%%`+*AC`iIk2K&UB+2ef2NcFRMN^Uw%>)==_*pSA;8l_& z8~rRW-Ki;|$;K?nFMgzi!>w6{VY**a!a@(_3@C2dlz`_@;hf}^Z`G8rw01lRO?H1~ zN=V!ZCE?PuM`nB-*!HBLepgF~o1Bbp=*~yYC%|Tm4`7p2_qF(4^geYv@aj#p=EeV3 zFMRNV)YJX!yX2hV-R<gS=@!=@OYuO!J7-CKNXHI*4B2$YaOigMBlRedU(AdH?#7j{ z@!m2f%s<nCCR@w|tSrTzaXvr;K7)UKW&)Ial%TpV+u`R@iSVh_jBH8xo*EOZ_0Xml z7N-1NCmf7CJg8%+U^+&1z^sFUIart3eMq*<ssgFubifuo=xc*5cRRh|C0{R9i!?%G zT^ly1F$R_dSD>)uaHZoUym>Vudr>AIgb6JL43=nySO4Nd#dVs+w0fOX=+}QxaYy2$ zWVoo5$h)2fT|Y%hHEN!30c1nSh7Rm4jRhh`L<28uyUoWc{#-H0SFoBVEkDsFUJ~jd z1ic)~Oi2fT-uyEFU^fj6AV`h5!SDOsONfuT##E+*VzYW}10t-z#sGp<o@hc0yiaua z(%XbaqGP*c7{?$`Y%ldgI7)w0m<lW?VP`b(utghVPp3mWdC!OzW2gLv=0<>b;fmKN zzAW$-T?y2(mEDW_S4pw3FO^tW?<Qfnj9FpdDRHp!Y$*=*oe~G@HzbpVex=3$Lx@F! zQWW$%B@Wu$MuvfWqlU*;B~lJBk&-k=*_h^nYeB;<nRXVD3qZeA%iw=gXDCNPzSF=# zZ`8|iknhwuqJ|DqB<xEKECtfgUIrRC3Z$WT{?#~qx?)I$q2H--u>0mx9P~Ri4nMtn zjORO~)-dm<#=&kc%lXj5!7lmAP|&Z`T=;BBmErK%ml{~e9XB}+{7M4@S*Yb0@GCWj z=o+FF2m4Y3OTHxJ2A+QghI~oLRW&6B7Ej3JpkFC5M0b7UPE>a_iEhcrv9JPpB`?wS z6qz{eJ0%WwvqOf0ex=4xu&%K?4@w--g#sBH+S<rl+OVo#$pNWVmyyRWl+Y~dl)=-l zlo(hPD#Jj(Qe(hvs(f*$wZ91r`uT<A85}=Eeos#}AlWV)%fVb{zBjz=*3ijzgWwz& zx3hz&S?XqacThWrFqj^Tx5MZQ{EYg2{E5Z7^_SyTn-rWK)UX|K8VEn5K=@Mv=mP#6 z2$i+j;?O#L9fYVdIQRCa5SYV1@hu;pz8o5p!%1WcQ8Mn&aJ$3b2Un9ZN+M65a=%Oc z{CoP3+Qqcrrylu8THYj9%U@l8gqPkR`Ez#AJVbZG-%L}2(%0n94#1%jE26J7!_hnV z-chFw_fp}lH}WO?le%K0OiH5Q*_@N2N+kjhzLUvHcml0FlS4~c0-B_=o=XN65_(`{ zNzGG$upTu40On2s02Bb1{wW9+f9-wka~n63_jmmjILmdFTv;+_Na}5qRM8YIb0bO& zDZk{&x~3>{B=bU%T#~Zo)8>D_ZeTD2U;s>m(pEhmZto(=WOw7&jYc<KX3#skPyFA` zF1z|NT{HOq5xY(2>1wn|Cu}`lO_v*XJzB#*7IQX9*VEhi30r?(Z_>L&fBbW2mvb-f zZ@;k3mvoh~5&Sos-c2{7&2%vbk=Kj+4SrV={R&v|^SjY>&X)J9<zk((#SMH0NbWb& z*>v+AL2VX5@+U}5N2~dCehX~fKI_gm=`A2#tk~6f#>R`gyU~2IX6a}>O;`InPc+Kz z&dz*vm#&wiamv!KqZvy!f6&b1&T_RFgDRUu*eAJJ%qHoIJ^lsXF~-*ECbL|!9Bsa^ zKW?V8l+72byU}d=KPmfTI=@+D6rVpE9GNV^GnuXs0ehJ>gyJ~ED&5?#=K1G>Y3DEa zzpta!baV~vY1noYOtJ-3=H#TL#^;@<+ijP%zog?o+4M%b5cJ{de}0Z#$DpUji`6O} zZ`g<F*U@CbzNV`+ILb40SfS=R`O1YSnQ#`@qJ#aI8)AG9&CfR`#UGM%Z~bMl+JGSd z|0DZjy;&jdo9Sx3ktQ#Re`y!`nf?4T+lyG{8q535n!R{|eLfy-#$VVYI_+Y9a<We6 zljLrFn@lI{#hcUQf2w`H7uAkmuos=d;Bo-J`2fvE<hRjU8B6zT#FrWKr_5PQgugHt z8#tD(Q>2F8z@GTYf%_L6g+k&!>%*{vafvKASThX3UD+g%&)H%LKaf$x3v4!Lv|H_$ zefE9{BYTpneAnM|ANt3OtHolrW<USJ2I&$yOZf`|!w@3RfBkxYfBzpZUZI3J$Np_K z-K5F&gpXo~DC1QMQ4Y+)?w1oK-tE8mYX^HM8)OlTs^=II!>iWd>gj?o*e=*61z+S* zQuM~Fymw%D&lcaXi}QGRvs&CqlZ!w(UoU3q35Z%R?pF}qw1A$4wS9;Wpg8MdIL5FJ zzB6Ai2!8*Ke`6PWHJzY&;dAMh(;0-}%&fqg(Y|8W5dV1qU*ChE?~8i|!+yTlurH&p zya&>U>3V~pGZtX}Y5(=zcl_t;?6V}=e^{=5LH|K-%3OziTde*>P7&AkclZ#Wfw%<u z*6a@qahZrU+xzGJG=;IvhxSW_Ed3`8OgT=44w6fPe@Y@I5V5`G2z>5geE2`LdhO=s z_TcbfFGfEB-0gR*i?a?p?F_qT7wn?d>#%p7L5IT<BOu{HU9)*+XvH$48Q5|1y=);p z@nvHQdjpdx3K)Y&%TADEPJ{?FTggAr4KN<@Zo}b`iF~Pm<$56j8an$PEI3coNjl-0 zrK?qMe|?Lw4P)?p06%J?E1;jG$Uo$8FItiPfn2;d#_0?OHt#f`691A!S+6}R$o^tl z$L+HB!wze=hMnOFj$y7^^dmdvGZ$fa^gC#dk8x~PLeIh+euRd~GwDxCbHCtJWCX4| z-%Ib7Fgbx~!F{^VBRVp2#iN}afHhmE!pR;Be+&EtCPO#-&;MjE9}9IUO2j{&u(n8C zvIoQvWUY%+9Ge0U{)5k2*cxUI`?(G3q3o0C&CTDkmW16dF0XLxWkabD-FUiaKKx<& zsYplU!hVJM(0Zy{%HfLc>&c_FS_JvCd-3)Xezm`h=C|m^yyq~@>HW)t<xgrWyw7=} zf6V;&7X}FzqGsmJvO8oS2yXyP=n#tU<dJBZuE2A6WWe;BN#zr}zff(zF0rBu%&s@9 z`>{4G=P)(yN=zDTNQf^;u331d;;#i#9CE6GWH(p`U-L(g+kSz03~0Mt%wayZ=AMjh zD?AuNd1gd8Ld7VCNsD4tnAc>HvT!1Ze}XINr?1#jOkW7&8X^N^I>(q+hBS{(IIEZW z1V*z0>976k$>+>+*~CvZ4a*1RL1CM0EDI^GAnL1z5eXDWq_E5@&8-T(OGESUM|QEu zQgy~t$@~A2(PQbYVqv8kF}LASm5Lbd)xZKi#k0ckvl!o$j=22ex2}v|5zlAef3+Ew z@B!1y3l(0$tWBhA3}Y~K#JI+W{W-i6TBCs08}Uh%9Sb^VwuZ_xxrlnDkF`Jn-MO>l zw@$Nw&{nPEO2-&<|9KB<bI8m8c%cS{f4snGCsGJc%m48+tI1GBS$#!lj%@YyQiLEL zp5@{jg+9mHU>7$~vgu-faM@lze|eDr5^=`%4t7CCtMQlV80>>HsS`F?O~0ne81u#m zBCgoV$lT@&kn;&wVo<>%80NbWq1zyXM<A5UO$qLv&y&O=AlnVW*ez_Yu!^bLzy8Hu z;uM7o(PnSp!IV`oX27gps-XYyBimygK2sa5Ztw3vi67QK{s*k^U@*ufe;)kJSA4td z3^MlOny+teU{|St4kVaA#0Qtx|7}cAUlx>@##P0U81?{)wFObhTA}2N_jA6b11^3u zg+;t*jQ1!+iK6LWoO;1%hD9E(y$TIr<Y14p>)D^0c30n*ut$|Gn#5G)>i2$!WetHo zriz>cC$=arUqcb~#HpFwf8ywKFUxg;rheg>khlMH{yDY>TLhhlB`ic?XA<mkowA>> z^<QxDZtdD3t~9go1o;5{f1Lgewhz{@e+WB^umP~j>;T(&5PP~n!_*avWMccD(Ytrq zF!u=QG(}(p0wosatc4MUh&GSdqAPKK2A!-BlH<&Pp@zu<y|0M$e>kq$-Ud63cV|Ad zu$Qb5q!bOo6!ubAH@MTe1hCpy`~#4cCl~mD?ZMt8yJUNOy4(N&3ka?g*yqJo-IO(j zGV+r~O@%i0)2d?YbkYW$w5gdy_U8$En8{$8)L!u|HXbKdFrQm(rs*15zz<Y1_J?92 zrTeB!w)b^5|H>X<f8Trlun&pyWV$>lSWlw;NSB0t4B~O4LX1@C7TBBlgD<7oKX%%e z7jL^~`|xXcm3(NOv&Y(B@G<+$UKU~#Sg4~rXTE6FEu!fbJ)3S3dmi0jEncNtOw%oX zHr?Vabc-zg2Gipz-Rd;m>d&TIeGA=eK3T8Utx40Z`E0s1f49&rs=@zw=V@c{WB2sx z9ea!pEZs^7HHS3S51&o-!!1<j-D=aiX;J!6>u<iL4}o<K2_BCS!OydQ;RfX#0_q<A zP-9qv$tRzdVb0>|sQy|1Ol5hEEIdt$mRzzUhwP?Xc0`pOJ7hQ9vSX_3x<hv4mR+aH zZaQSgZrM$$f9yktY`5scOf-*&a!O}T_RxunRjW*M>}4_C%XaYlaK4*PaJCGy8Znt+ zuyB~d2Vy<^HwGkMr*J$a7Zpf}2OO~Kf?S+&uhRQ9PTcqL45gT+<7Vv(IGFl|rzQ7z zu(nui_O+!Lej+!`wZ#{1CxITpYp!e?N?pb9#hkv+fBqT=!~ZRXAhL+w`oE=6F*g3K zOCdgP^Z94K5`lwVIe|Vs9cal6)+;v&PZN803mAyK5j>KzvP_`my5?juSv@4H%?xJI zHHPA{2_}2n{nR;4x|dtYpG?=w+2}jYz{lwt58@MXuy=TJGkr)W(?#B9-i~Y-H*}Nn zY%%^5e`&nU4`;Z1EiVrW?KD|jM?-wpYSmid?V$5p^6vk^+yrMX_&=Ggb$QTbxUgB@ z-c6^K@T+?#m&2jw|AJ0ZeFHmOdc@maJ4)K;zt-AuFS$q-n*D@lh&5`7P}*PnqED58 zge3{RxD6Pl4h&tEHzf(J68cmLNT^9TZ$b0df3D``I=x=cmed?h#$(FqZy^VHG&%jj z@Zt(}omZ)(Q7STGYTxRFRtXh-TSzeaR(v75OkK7Y{>A%NCoEw=2^D=?NHF>~PQv>( z=h2XWoWQ>2a*V!>6RpR*M$srGmNbP}c?S=f=n+q`=p|EVOt#6$?d6gUXe8Us-T1-Z ze^ySRv-sK(EJ`c06dqMr%#!8I6Gg;cnH+)|i)<P{guS0MN#}l9CBl*fFO-~}Q%T;% zdJI7yGSZ)(L8}o-&gFG-yYw*0?<M{EW|=_Ro}@RCzjI8&vVb^Q`?{(SAPA*^P4aD( zY#tze^R0Ee8_T#Uw-*g2JvYe(#R(fde@v5a*U2;<CwF{W;@ujwP-`A;+aZKi!)kxA zx;?=^PD&C^;{B!#1&j(coB9y@HvCHM!Glq%0iO>s?gCN~`?m4Qh^u$=wzDzr?b#6< zhiX8S?UVEUc(hsR+|6<NP&&o4H+TaNZH|*K-|$&MuLtUcfnBLGqu=evO#LXSf5}i> zHSxSna8|+|JEyI^T0K5G#Cd17eZ;?ujqh+5TW`u?`P=DjlH!vcuxFGk$LnNyH}V?9 z&QNI>ec#?D<Rn7-*uJ6Lsdc|uBp2!JVl(B>*Rw}>1SnOE1#^vezqfFO^LVU$-08L2 zN%w7Xg@3TevrbR_@?n$oM)T2ae~L$>2|igbPJ<qAM(`k;LfQZFeT@goD$PuN18^tJ z7j3e!H@0nUY}>YNJHKRO+qP}n*x0shzI^{zuj*Az&)lB5-8IuyGd<nsp3{q#fAnIM z*fn)269*b}TQVN(UBDy4K$T#sDJ4<-SPPie{~o&^C(K&*<F(Nw-TQ_i2j4$fLCYPu z9q+GxlE?(>?EpE%XVTl2>>G2+t=ZR?Fi<kAN4%Og0WEb(Jdk;fuy>Ys0`~6T5~H|h z3dV_K(|?~#;Lm53ZGWXUuBl0MxWqkh1=!-_sn}$Zw?bZoiR|#|fs}WVdC0Eopvx_A zb5^dqbE0Qeq-Rmvwr2=7Q)FJ+d{-WtSE)L+1Y1Whm9OZ#TGttB52?1)i{M3mx>nek z+%-S0*Iu76=RAg#Y)W})0kY|^p9tVJtap{h!={ZwD}0~3nh0wMw+0$sROv$H;YUt- zMo=x7%ys-(IMJ)vj&Yyd?U8ayOSrtPfr@1A0|EkkUJ$-Y21%wFP9FdLOL$rY65Yy} zzk+yLVSqq@oxzHp!?IQ8`T8SmX8-3df-n>SU_EilMaut~pejcy2AlwoBS6Hmb0WlI z9~Gok*#vFOb6c-B(&a;o<O>@<NX85MiZsq@SA~jRS?FGxQlk3tn<YmT%n72qg`@;v z9f%+iPKHxKCi&@{*{u-m18=U(O%$u1`1$dalZ7!OVW=-<0x6yaqbPCV2;FS=l?;~@ zF28{WK<Op9bI+NA0dN#V!}jxMs&s3v2LNbg;rnCJ=5U_P*)tGkv&eOnE;n4Abxzf; zTJXZX6vT{7`NDsd>gwe%2EpP(b7H7AjY>dE<V!})SppbFU>Mmf%bf&dy*U{QWH<l( zB9Ob`>%z9=j!nXXXm|VU^8mztJayl9Cp-`iyArDF){4D+07BMcYIZyD&u(Lai|T+0 z#H;BNo`5jc%pV1wk-oloIIg`<dX1<}GJud-4~^<Aj2uwJo^#hkzNp$qs2&}G=CPFf zQE6LI{i%o)hDgdiS(5(j=gxCp3f(g>JCh<r*9s%(9*MKMda4(K%`RI!;P+v25<;WE z3d2Ez=R<=90Q4Ya(GN-t<7s>ib<g`^PW&#f8&+_f|3W6{BamCny-!*j#7g8%VFa;G z`B9ak9;f(Ermssb49RmaiMB^9#BWO$`Yxi>N-k6^s$YAyHRoeE2WM4m=$p==Ou=9^ zCJ&Uv(flHOK_26rQAu7J)Gn?ML1C(45Ybp=j+RK{2Nchs`xrSP+H57nalVNXKR!l@ zil1drlwDy<D9y43lVnU|N_^jS20?}JH1EU_vs>}#c{e;5i}GA#x~-|z()we=|L`LL zM^2pdj3iNd2^F?AAX<zj2>TG)P~c5>57kbDWG3Bq234oKL8PSLOLKIdW%im@10UxV z70o7|0O;D6(c1f6hFahGDBON~9?QdC(vmJ8at7@=jdTEvknlQ4tPr?%2{$FR0y@ZW zW>T9tZ~%u0zoHF}vf)hWCx&$)JnY()Fe!M{T1=nl*$IqIMFCQPsE$4Z1QXVZ=vgnp z&-?IfC*@Vb&M0bN_@JUN7?#lAa&H_6b?uq}2vTKs#Zxd)G0j_yjGq^E7)t}DSL;kJ z8H|r2g(Bmwd*3Aq%OWxJiR8iX8`Mi9LGBPZg1XPFC;2B_td!U4SbX$HvN};SeT5<Y zb}H;D$m>@gqQ{OC=Phx@>7o@oWkm*-Jr)cnbrzq$qe7Asb}kZfJTwaoQBOL9kYJb! zKo|{`7MI|@rzD0XtVh5lN-Z)5a;vfTJ8b~;Pid+m3Q8cRh=6p4yfeKN^;5hJU0?r^ zr_O~1)-LdfG%d#^ygu0g`socrV^X2l*mjR6_xH?|4m_rHpLgxt<91F?&vnDdg|v?$ zDOR={Z~X|1wu0d+mTVXb_k0^JqWmlY0GJRMS^iZ(ghc*i6@^PAwx$9OOxahS5lUGa z42&ZPh^iV$h1|bfNkHI^$gf<@&oY*ur8F0dqcpK6$-?QrRKdSYqJN>#&{C0sg_;4k zG!=*V+AsWsK<pq4d{zJ)O7_+!kDQZ)pR-VqlSF{C($87=XA5!`{_Cs^e3}XXI!%Q> zO$9w|{e=XKi255!4tyj|)sVJoMF-p5{1zJ$N&%`=_`|i;wUQJSg2Yl1*Z@VGsi>6z zlX56N$H<ZwSP+^hO-?&B(%Vo-ScZ&|G7TuHXFbyRCpSgn5GTRSh*bI!8matWp4cHy z%sbSW$l)<PSzI#1ko__eQ04&&N$IN`CuT?+vx2BF4>_7oP%chfmn^D{tdmPLTu2nx zN=`~J#Z?s9#JxB8Qz?Ng)_8yf8Isz8DsmVy(xM~>L5|ydl=8A-7|c(Ta>7GaM1cx# zMORq04TgoK%kbj>CrFb;M^|8v4QB89g`1ZU<+Nr&6~0~?7a{{7$Sg(6&@qR8YD7uN ziVWQA6`rDeBr0)d7)#viH!ei^aZoKo*Z9u?6`hQ@jGaN@Ub1VH8c&+3s+9rLV#q%% zUE@r|^j1GUY$zx!QT=RT@K<1<x_q4aS>fO><w13R2^xYad>D$bARH)1Vh<!K5d!mA zBpCVGNYFq){XTc9-?&u~IAsG6Dxz|nhIcNNqEtYlre8Q@xi~GaJZf8!z&I_x2&l>d z8h$BN4iRYzI7=H4;ZO-x{g0o{&jXbab-d81#OY#*de&9u`vr!E#%uAX0}e3ThQLG9 z)v*!>e+m2YYZ}&-w1cx${S-~0x|19jrDnxe03<;F*Wja6jkltY#7IPd8Wsr+uu}7C zxWGBdD@y3!9z10dl2rU6gUTeR2tT8@xdq4Y%?|(I(9lE${>*LZ!pONoQxpOcXB`ni z!XR7A12V9y@Ybpz8?P3H0awH(9*;sIODlnO_z<kdh}27eCBz0O!VIiB3E&PQU8`Nd znk}wnE1Af7xV{g|NiXx+EAp1m)64p&dH+pVV@t#A+r(ARb<RuSSdSLBT|q!g;i7BK zBRcvdpm!gJK>LG+_wk#B8w{-<!8<)hq+_*4u(&v!bpKv=XdJuB>0}mAoubrgpr56E zy_duC`0sQtX6^XG=!Hx1D>|v?{LT*0TD$jebDcC6FFYnb!|H>=s^F#JHx6l#{+AnB z>Nniqw#HJzZ*$+RP41mXm>a9VJ2&V%{d&D&J$_IUtPMJ?laQ2Ze~|#dq+h=zKfn^j ze<gM%y--+@@_u!~lwb5$LG5L5GT6tr3oECDp81J;yAXT>Z4Qwu>f#;{#@=oMjM2+a zB7d`e!`Z*s{r(3Cc(^rwUPnR!G8U9X4sB~Cli!vZzS(v*csK(~Y!qMXY5B)Ba6o0z zSI~GwEXOJG-Wd<7XB6?FxDyms9t@sz>0N1iOw7$-B=Yy)Lk`~IzuB~Zul8BYSpSe^ zGb6uCiKvM3I;JKB=J1ws(bux10PZ#wfn49+G2t1XsQ@cBz}I8aRP}3%YX+L$`bC=0 zd))y3FdbinPajl1^w5o0i{VR^7~{uU6^8G*LL}f)nMSfRJTIYf$Nb+<;1w0Ug|}>c zTpoyWm>^bs>7K$|Jm7e0SFrc>S1>3aPNU}rTSe|+pmW|L0dt;nVYe6+fF&um_7~-x zTI}x;S6l3DAhl5K00BaWXvm;p78(?!;}v-e91s%n+vxa6Xt8vzR!U*i_JT~R&A4Jg zH{P2n2~bWQ0i!7*sxYNz--=JG^U8tkJ8!2|tW2_!tox;F7RdN_dB9BQF1)4tB;Hm! zAFJN8qx^_qGshn8!i^&?fQWHBO|4805ReAk$qgNI>2&iFzmEqLKK0DdDJ>Y5{u-Q> z0?}(ARCF9jid=L1Kmk3NR{V#~{-zt+VCzY~6Uu-$&sy>5-=CCigp=>ja+l&tzf1!j z;)8>1@qmA1J+EEcSnyscCAckrZVz5FmcTkw?sxBS_<ObcKkXfQ0J$*~4==Kaa^`m1 zxniS%`m$oMObal0G6uy6$HtozL<sCAgmH<=Mk6l-0OM|*G$|Zc`srz|G5b`bKOuoZ z3r636J=OVLB0%%03}SsaLDVHkuiMS8Z$$H^f1{~1g|w8|y?(={904V2@4HwewAx!( zp;H)k@s!UTR_pn00)p740i#>twKbCj%-pE-o{e(%bW9z(gmL*;bc~-9F>N>vdWATJ zx6TnH?<@aE+kOK}M32H+Qi#?iPUMRRe;+!&R8Yb(AHm8EaS?>4jh`Ko=w5;*ZOenf z2_za)pchZdN2_@&a72_@_s1^4Ky6QsvSl*p-NLB&6@3up13Zpp8M!6Z22u;#wo|t5 zm?-mz#Ge~G6`8BAZub{zUMcmHHx$Vy1^R=yL^1qdXoELr8Wv_ky+!E*+XC{5Cbt8E zYWLvS5WKk=?df#f3&OcZ1<aF1!an;4YfEc21cZ@~n$l2IO_(K)n=}NJgCb3t*>6wX zDq?3t3ViL70V7i`{ryuqDSU7VOC@yL2f*YmRTZl7sNfvtsR2a90Rzm?n3-k@qdSpf zVy0VFq{ROF&A<s8HlztmY)abU;A#P?9RtTsvwa!W??F-t)B7S|7Sai$W8%Ngg~R?v zlhg|g{FjL_|HYwE)axCd%2C~RfYeL|t06$)`=$v9@`4&XUk{f)O>u8gei*~xa5vx& z8`w{0z1!d;US5=|N42Tv?=Nyt-k%#iZQYS>=f1yLliDvGE{a2Tpo}$sVlIK(sQ!T9 z3_A2heUVKBhE%AX+*PTSmQ{>xu=rFt{B06#sjUg(tgQ)%v$w!mZ2jX%L>D2HI#0N@ z{SgA-lPtZ8ZQ1%$wEJpE>oM;M2uJ7IOA}q7IVKPY$~dsw4O;L%G(k^J@VJ5U2ekpq zd(j}ICn_T>)=09XFix7%TwoAmr20*|M?OA~l{}0{*M@zBMvPSEL#~6&K3+kRpnfZ< zbzJJ`ltV$!FI^UGGWSlmVGl6^?~bT>{ty6Ir&Z?E_^Z&iC6^S?VMqW=(oDat*E__2 zbQLEG+dnylbm?Wp*MgT6na{7}3V2neNK3yyUP1^JfAO^idwkE!gjhCuGPUf+t~^@- z$4>3QetS;2)Z5JtxSt+cUF;}a4)~-t`m0Zzg5CNTj&6>3gD)I@G75qEc&8rV5u5@T z)D7J<52b`ylo2(6Bs?+0e$IpRcj5|^hb0*d{{7V=44Ju3SQ$bXNWRznKO09^XKz?1 z2tha7im;c<avk3QC>1-pTrb;|*VzCVtOTx#wP(9hfs0q42Seqk%N+|Hrz{o%F1x4K zH{W2Af^&=S0X{8~ej#yG6p!vNU}ex%d!3ICmon|mj#06e<&GV3kn9ip*;)*Cw|@Gq zjS=e|WFPDJK5_WjyF=9#OaSIS>&_%GH@i9)#T0yEV33Rj_Z}#Hq!^AUo`+cMYBYO1 z_gw~|@ym*+T%_9*EA=`gyl_cR5G-CU3vOab{~V~?Ir;(gvtMi+NF!M_pcH&jY_D8* zm8i{c=+|(R(aIm}A|qs;EdssTDf_py6r|y_c=}?uwHuPYG75L&EzJT$2ihSOtV|yA zPC?=MCgS|~{Z~RXJb$r2bZ9>iVdSU$I+tZSn?MCkm+ZCUjTce1@?R*%iU#~0S=lE8 z#&!NQBz$UJZXf@O$O_R1fYk=~N#9{xz_jiwhP;g(5QN>?V25*Y4HCjTE(PXmF-#gx z?qXi`SYMykzec3yrgjG0!LFt0>tym{<<-Av^wzTaSQ12NYOoU(^*-$JEwrt^6~K9m zQY_|h33!%jA<ziEk~d|;vtN1i^1B5L_LB!0#UM~G!7+QEwFw6qfGB)$+}4iSpbOY5 zilIesChzU@CUE<b^PxkL5oM#7VNY;PB+Hq@^WnQfJj$T~Xh%qmASk5mdMvQ65qw{w z(E6e5>=KEn=?J~8v}07!K7@$RUF_>q7;inB%E-U=NxFoxx<$wA|3vsK5$JZyA}R!) zFD6}C=;I$=JSH^oDe*|D4mn>mv)6W%d+jS@N|ruh&UGsUDy;Qhg4*9kVpE=*oYt{0 zZ}<|p{r&(hI{68KeI<R@Jk~t{z9uVR9+xTz=A9p`MlKj8WCc#^;OJ57Dp{e^pN-81 zRLX%d1YL+6(rV2IuH5;eN*R2O4K@=;_Xq-#*m5F)x0-T67Fb-<k`|Lks${rLy5vFA zW=l-v!)3{=M2h=(T-LB=TGjs2n^Hspd!~lyoS6VQP)j8I%+Jtrap5ysYXaBDS$kn@ zb6~Pwa4bDPxP?@tnapuACyKFfv|)<WIBr&cVui4<nZU|XVItI|H1WcGL1cfFVLn-n z2SpiYUT=(4TMV9+ST9{Om=g~(n-WW~s8w*(iaya1OEVJpvOe)ESoImhW7NeHNLK%Q zDsDgn^qI49?Q%pu%LzTENWyLnfk;A~Nb*iIm8l%?w4Z2jJ)UJ1C6VNgqLtii+k&RD zGhGuaYFve~S9vonv59josTA$-db2u$F-^Ew5S4~~u;ouG*RV%=Jb=wkS6f^{f?>|w zzp0l<e3i7I6^oi86@Bw_u0wh&9+Zrp0sK|kw8&pmvfZ3Hx!u)MSPhrVc}91cCdV3G zE#0h~q8Dn{FoHcd#ip*yU|GtwU1B>Z-Tb{YHB5$G6&ERC-K?N~r>IV{moJQ(g_DC+ zs1TqwPbuLO*(765<Ny*7h{Q&1PBa$2Zwn-lrD#HZ21(60CzcwK1gVB?Kxof03}9$w zRVC84Ij04!9>xG)9+nKOxO@!iUBnpJSyK<QADZ8iPp|OIv}o!+Iq1AW_t#FIj8`KA ztsP~v`=x&H&az13o)fJY6cO*r0i(M${Y~IRQ9*o`2_#gepjV+u9QD&<F$YfNp`aPU z7!JVEJ3z{J>l3vgRfc4${nnCy28@l~qcf=8EadOxP18)KtAnaBN*Sv(<Ze@|Q7U2% z`uHnbcEZPsVuKk0NB?Hv$MX|yn~4j>mkYifgJ<#p!aAf9%XjyvBTnN6igJt~R_N@U zjg$V*D>sosR~KHI4827<ehj3Sx<w9YXrh8*>_A{=XfsiGbzCONI64==n7_dzVa=~A zB0-{Nn?T|OYLUBv@ZSk^2@?7%?-C}^T?EK9OA#up<W64HIBs?_A;hxZHhKL@y5(_@ zlr)pWeR0U_fB!(j2g^rLmiq7bfweS(vHZqSzU!A7q=SK{lE<SI57^0#Ult~^B%rS* zO_)cH*JKEz;HA+&Bn2dn&VlqoAZ*u0PuXUW5g|ZkJ?^y8+Xudo#LiEZF6ri<LgNUS z4^ABlul5OW)btA55*UXksu&ZE!^6MG`%{i4))oz(kHz1Dz6jVe`76o@?3mob5xFY_ z1&HHhmYx}eL5~K|;4?J@E6VyKBkn-fcXncCn@c;0amTT<_W=ZxN(pZ6@I08ZfpQRJ zw>&l}iPCEi;QqU*8rHjCD#AB+(VjmI{i2_O;FMnb!&G_{wZ8+8{tbX|Y{y)@Q*G28 zm(qzF1DOFOzwR7HMT{zC7bJn79`<mcb_4-#hLWxEP@yJDkM%A~t|babm`Iu7_p|wn ztgkyB4#`Uc05&bE3at-7CO|2NhK4kNVxSHX0maV*x`);{0RQztz+NCQTS1@{{+CMl zB#)BHniGc=8y<7Ra*QUu+~bvo$z#-*ow`IM5x4U)WqFl|?Kdc|@nbpKE>S+zy5gjy zEuskod2c7$4>##;2VOTXh%~eIQA6AnT2|H|qM>#HfKvYlMpoLugOY|eOi=Gn545ag zJvfGnw>S`_Mm*SYP3;3}eAncf{-62Mrjl}whjNiB0Sbr(4rmk1#sCh^D*a@Ab^HJW zp9^G#-Gm_z)FKAF@d8Fm57PVxTD;JHXnK)NQb8^bpB%&0%=801jz`p@94AXfeDsCH zuc^`oz#N%!!zeU8;e#`Ccso5|{i!L+kiMXCLNFAYk*-iU8D5Brf)Z6^$e(H;lx78# zjQkeDaJitFdHkltrnI$Ackr&LPH@CWM*da#X$-@rPKKG}s$D3RTwB5zcFT4`;wBd3 z!Z}+^Qzx$oCiy?q6w(XgdA0Hd)%}7|n9wjRfUk0zL4wQ%P?5bzY8o7BY5!z+s7Xoc z{|>W~)C=rc4Y9Pe^b!MXvPm$yP}fQ9>`*Z~T<rU2eFr-vozA6>$yZ>o3(bT0rA}dd zKzwMh#4BT(Tr`<6U!ucHZq4lBk%+b7XT}<{jK8|7-qcyJd*r}Nu<|uANV^Vb^49=r z{MaHxHMy?AgR9^W$=h+o3l~I!%8q1@r1)Ef{bJ7))0DrF$*}9Mk|<(Ss^k>f!UZ!n zBZw-z!Rq8a712hi&NOE;a;<0@Unr;pW;1}$%F!g%l*m&`J4+))1dOTUP^ZP*gzhCN ze3!ZM1iI2uU0N~R#KC$O)`@!p2F?MZ2JX~nf{2OK<J!BYUcW(nXp8s@XxUM~-!g2m zkTp_lHgKYbwTPREg01v>P^A5<Kp!KlgEr{n2}|{$$?ECWAEk7mBM-*TI&2Rds<yAu z7^+=F-&&iM;fT%6D2YUm{~jyd85%g#v5Ug&Fwu7uxcZHWT>~d1Y=Gq}qYeX9n;0~j z45WF(@6ov>ed=P1>!D2vX)Gxl?7JDN60yt(WlUK9392XVne|yaXWdD#_he?Q&7jT7 zum`_L{57kv6~!}VJ@Sh)H7Y@9iPY+FAw+2##);N8LJ+Oi>HQt9Z3Hh?T^B+)vMwUt zz^|JK^DtMv2tU)HZ9*&lkI4%VnteEKl{d<A4Ef^*LA?CN_W#NYb;}G6cmg&SLvLlX z{>9Di%5X^Pw4OoIVZ4YcDiHn1G33XaOMDd0Q8(?Hrw(ptQzD~JiiQN2&!}RFm${NJ z&dTt%$7Ey*z!wUVUQw3@5rn5Zg6>m4s%ah@Jcv%U@gL0$1B`82YE9StryBo7)!XGw zLAiQV5>VyXP!3d{)kk3zZ7sT9$Ss2+EjXFyCyuO%B8#(XX4TP}aEFjdD&*S8_pXP^ zErA;UWHmI}`Dq)OT>LxnK}H%BhUh9;xMP*VS8WD9604D>`05JeN0$Wn8TeE}$RU6N z>mF~=C*>9a!2Kih;IREGo;xr{C1*DW0tjGJ*$g<6LuY~`$k6VV<lH7SiI!_%)Hkri zyObb#${%#<{$?29a+qL6SRQ@Q+&-`eMfd${!9<?^u>Zk1fo7ZwX?)d8we7m<QXX+# z46I!@Iv94k5cH97Pt-|{?&~*4hqu4d>b`U+q*MX0^r^Hn3hqgrJED;PPRg=X%Jap{ zXDIx{c%F~JE)wDJ-sV;-3&aM+dmht;_W8s+P}Ij{6-au4u=GNK4?Z&mY``!HYG%ah zZEr-fO?h}FsYMOCEzt@#a9y}Y^$V`SF(VhI8COPq{k><|RH=-qtFd8zokqySE~b z_8$O&tI%(x1tAvo(rWXEDQeb#a%x@L1q5HrnPrx_q<tA=m(Nc>ST+6^TPTz|h?;qU zz<Lx#x}0E~Ic;ifckcrwL%+JUy!t`yl{#H~AM$y-cl8r`ATWIDolrd-@yd;B_XBs9 zLgT#C<SL`0bh>W`j2Fo#pum8&Ulj181Hj;<iZ!d)RunZ(OI6Q3U=I&r{vbEU9LcIu zI*&ss8=Cmu=HQNVPxj87fMyi#_EpD&3DT;|5uHrz<wyjqIb2Re?5g})FVql`x!_Wf zZL)><vk9Lq(-J`Iygwuiw+Rossc*ayIZQbbJc7cBkjeLs4$p|e%{GPuey01i3^4X| zN{BRzxXl@}WR@a&8nI~~vq*_pG@Wuz{|62=2<6JvB{TOEND0=TaOj>zb1f=y`FLrX zv=wOv5FB8K{S?1oihsP^bhi96rf^ID+Zl*pS_y85^Y5;LJ2vdg3V1Ks9?P8STHkP5 z=cVu8{#kjO#W|ikE~dy#11=h~E`TlDsdm>nY%ZSYn34At5Kr1hvD=)`s9zLXOCupY zWFy>F$Cxu><f^%i)}#lALD&B5IIEaP)-rxEmfDy_O-K_~a|`JbQ4Ki{;pmMjXq51F zb%F)A?3E}3vARHN?3CuH4QF|1LvS{TLtwcUK_a4<oX{k;C;VU?$nBJQ7T`Y=nU!)< zG}~NvYuL%JimNwy3Y%}Lvy;$AGQdLGG{;f(016S%dD%z&s}`g5G51%W2$PCohIk;E z0ON4-$$Idn)PiSg6We-?B^u<fbP-FvhW~;6i&-6ODwL3LRtHzf`&3+3ph=f!3yG$< zu5_RZ7nMry=_*r(PSk)6bz0BAxiz9!=C8lJHH+bPd)eIfLyUP;i={UlCX8yIu!#T2 zlg$TB`15-+>L?$|i3b0YeNBkd^R?cQQxb=CBY`7I2X_&J0mZFHD|a@>pygNh8>m#B zldFbfPTCifJ-ON~7Wx2F;YMm78Ym~%`b|L?8;UoL8<cK)8v+lwMS0Nf#Q%&V^z~_w zzCufo@I;Qwq$uW?t!qeQ*Fff`v>HB_NLYNZN*@J#<xHcwN}7#29m#c%LJ1C8T~@CV zIGcKS@?}}$ca`q$Um7<&yn`x0jTXWzrXyg48#B+2B-2xn0cr$8GGXtCU9b>;Ig$uP zOmw)iY!|Td<mm!r#h8wfZ1T$m@-rCqk-aucvLYm$dOGt+MGZ$qA6(5jHx-8zljN*5 z?&D1+q6L3v=wZ{I_v@yV;_eEX%`-lHV(gp)!HUZa6C44_a+&L?8P1fo^y)VW5hfWz zWTgiiB=>(^8Ya`275U2d+@04b%&iCE?)^kSR5vfyRICG*OF<af6OV~*xeU)U7iJrI ze92oyk}L7z$CeEV3}NdNdgw;s#G4nN&MOz_Y~NscNlWmxg$B0NqiJ=(45ZX{S2&NZ zG`k1yj4}cVGC=n^;Tls{Rt9a9(#KHV^sQTAVT{|~2Gelx+SOxrOF>){4dz=KXB2w= zXf6i4TSWopW*6=_+jKNF$9SLF2txH2&(Cny)kn`X?=MFy<Vu`d{Bf*%>%!DRutK-- zFn3`4z-O5;aR1z*cn2_e=k)=3FW|yj7t0w7ET_XyHaqGB0QQfG?HvbfP3}%yetTRH zvkixe)yfPlw}pe7Q#*9WWHy=;3T`HC{tdD_2yOu^RP@=Nv0B&Gw83Fp(*-x&eqJ(V zJj*{ldN#iVzqg!U-!lf!=gyLKXs+h;z54C)Pc((jqX0%pZG#5X3D)Ls&riMM>6Bi8 zA*T1l@7}(SSV47gqNDjf6s02lEO3!Gz~i_44zDL442Wg-C&=?&afYY`ysii_Z--8` z5mW%IqieYbqx666E9A6BRdvXo_R(X)3#rwYHD^<Z&8i@S1a&|>(uBgAgyBgyG&v%H z6U4Ns9aJE0ew$qeTFQnxYB>t#uE!%AZmMQ-Z)k9I(cQIQ5YeeAWnY&fv-J}Vc;OI^ zt^lyoxDIVu?Zc+b!TclabY?Y~pEgAsP6GkCjsyAiQvnO7#_x9<euXQwgw4>n^VUH( z`WeX(LT(cf8WS@QbQ5^RSTbb#6D-_(u3ivnetiA$d?dkoWH)f@T2V(WlodP+mMrS_ z3zpV)4o(3Gd5d^hkF36m(ubhSNZC;indv#vtmA1x)`MP}v<Q@h)k5J|uG8+7EYW~w zWL`90mpI5N?8*>%8QVU-0=!pDRuP0&NHLrfyDG8I?M3`o14a=93gZW1p1tGQACw8R zydIh}D>xe=jhFkjNqw`f;aSI(_YEHV>xS_~lQTrRL*kaB8uL5#GHiRyv*B+E<0|n? z-TFJ_$9-VeO3*ADirz-GnBF|pJ1bz*+W@_xX8r-2YsKzLjM2z}mUE>-#Os!^<Lmy| zyl2ZAryikXGv|Edq7tMhJ#_!Lr)5W@J0MGE_^E#Q$=0-B{qfM=zP&-aXabYBAh<-L z2*j<qrPBm){e3zbL7#tsaq7gkxz1rvH?Li-#2)XB<Cd|yGi^97hutpt`Vw$xJu>3k z{4v{@UlbmE(0634Tr1oZZn_{7|M#+V7lM4+uPJzM^k4k-%=3-}@Q=g_7FV@7Ec=iz zlVd+IDl~bpwH(5+&E68Ht<~`oU%8qinvJD-43(#Ufr{Kxk|;G!{6JF!-iUAKaGvq3 zsdCK;x<_VBtuQJtan2{TdO83Vmr%zER?ci<7juzHG;PVtoj<VwkL7b|)b$!Vct2cr zK1Y`J1)k~!y5U}E?Jr}w60UUi+rc<)u)U?M&&l-}+p`rS?NFE}@Kf(LA|^f{8Yp@k zl^4KP>V1>@xBqzg_v?aF=HJ`Yg<S4=`WN^Q_$auukxVeF0UlEWHe-MhUaHja>M~{o zBh(@Syf$I@{rRefNeyww$UH}f8%v=iGUn_h0jtSZk@UJjZ<v2Xx`V+K^i*VnfeOYA zc0asPPa1cB?__XoK(JnFzG}hXg)5_N{#~@cDdv`if;Qn`0URVlqs79<S|Xyw5o##W zbF5QoQJM;5S;syodOlzUl>pmPneb+%Py=a+{ce4*dr)=AK5DPFud4kfk18@I#4`wH zJ$ZS2E1fDvEV}e6T61HA)xR7idYqXnNJq%sY#>rUJ>IYiug@=LWoSDh&289HD0b%n z<Mh0@&oFhpuLiu-aF^{Zvt<cr2?I>np=u#FN?>l8=E2i>Xav9$PL6CZ>Q7OXu2YB& zy7#j5yW-zXmNx5+Of;`3_Abu2A+gI}_>err3aE)a61Y|(djl9X3#ziZy>9Ruyj2eY zx4^@h%^5+Ll+u13?#d=;)rt~>17vL9n|eQYR_!<0f(x!iqL&DmPNA94GZVz8S|WV8 zR3Pf+A1)yN4_ZY3N}u|qPdz`3`gEJxtkGxLB<1To;u5YtZz$ixg5<7F+yLRO1<H)j zk#QzRTVd;&=uzgQ?MI2QS=>lf#2xfEqyrrZ|3L-zoEnDL{9trb98b1Bs0^C7Twr*J z(bNqz3Uw)k>Kt*Tf3PMVMuTNuHVKG<Vz74)aZq^4JQ;wyiqv~2R+CALAL($x8cfKf zCQsLuW%$^XVi^jls)w=nLZ4397)!8a6Gxb*${H{bkQ8Fj8WAczT<6C_tS*z-o7jYI z_cKd$oz-hLE5mh*WCWc;+S(IjtNLpn3Vc-o0=n*qCr4z%$L?9;wVjZ4NQOcb^jo9f z8r7ildl(=f<Q*gnX%A(z5HSWN?muRY<0pdbM3u*nzB8Oc>Rg&&!18N7xIi!icQO?I zuPz;CxS6Z_Z@(UWV^MhcAly~NSMLQb%(--w>pzF{u|kY+eV>0K5DAP!w^}%{z0aR0 zzk6$OsqT5h)c0$x|5Uh*jUeiy+)ALTU;fT#nN|TfNHLXb{3>B=bRXHXM*VJx7O|Vi zPkFsSC~;as>l3HgV8o`*)|>Dm`P)DPo6%}weu_j*uz#qo46gi3>K9o%3hhg{8llNe z)8rIxUa@=aAE8-L7yDcD*`XzDbBbB)<h}yfMs6f%Lm2*wqQZH5I&;W-<a8)9_M$v! zoe*;Xj*c1Zh&Li3zR)zL+37OMG^OnwoIGAz`frdt9oXPm!oa+)DMP5Pp{HtehH`@T zOA2qjVg_vH%Av1_-O|sREc6=JFQgVA*qslLy1yyk*J{-4tW!1Dy+&fUaqbm*+Gl;b zbfyVUpXD&``lB%=yf2?5Ln#RSM|9`CMR*bH*dC^Bnl!AdEqouX4Gj(N2j2q7Jgw7V zT|7M>FF1Ry_46uVlwP;La=+;R?|MYw|93s2hWMoRLy#X1R*Re$Fc;+itf&BBu!H|u zNoK$J{&y~9+3wd*0{KaxEz5Rbi3b0>ZaWJ`{~PK*2G=*?QNKn?@h+GkfPvATv9@U< zmS*&r7dc)+zIT&ASROx!q#iLgGY!^Y<pv6djo?NB!_e8lgYINsiw?2AvsBym_XF^) z2T8tK7rqF+Eo>+Pq|^7YvWnY3>F3Uehl#Z5>kHY%GNqEU%(rY_mBmdJ9ZT~U?I*di z9nr@JE#KQ@Exp^En63|0KuhOYiSN})ScaYVV}+i>eaz&>U3f+g+hb(_eLEEbpW`RB zZTF!H-?!60&$Yf1-P?nbvXrtcIlyM$SODARgOVEeC-h^&88_EHrJT>161;A;Zgp_> zTca6XruPwoT)A2)I{sQ)qpZ9n4+B$Pak#bF7PC`A@?bz7yfKN9bW&83gAp5j#C5F$ z8!q0zJ5Wx@#k?LqjLfML8Tt0ti*H|s3BE*Ht7DNqfhLN5V9a9=sc~AR%7)dK+_}~E z1{d&EP9>JE<9j=K&=v<~@CHyoubMx@v}N<OyM5LxRZh>Wx5$^FHjP?j#DgIY-q@MO zk8!5{ZFgwt9pOT`G+#+4b9`*Wjd2v0WV-k|F;#JRaG%7PJ+g!c;d%Kw<jI;|GpZLE zaTx9pr~6+W+J$N(w_9?``>(7lQ|rYxx?Nw9Of#Gjl~g6E0Pocgz?$dXfoMUa!XB1I z)-=6{l}hJ}^L{u<pGcHh?3%CtXcd#<k0c@$Ip%AHiiJ;>e5dGoYn(*Ixg<7yYB1l7 zyC|TLb@eRUHq%FC;k&AO@<gsucD<Ogy?U#-d3jo?p^dY2V&T%f`C765TJx)=9`C(a zwb*+5^h}myw1t-uAgY4Q)~I7D)MlAx?fc#mR(rO%mn?I>WIsmhg1pXEUPKzNE~1H> z1UI`FH9JQama(P%uB<k5Bu;fcA$N{l<1r$JRbscikaXe6WjlJ|TIoE%SrXq~FQF~E zAG`&-#Pis3B58NlJ`_HBr7zpzlN`sgh(DorMNxm6RHj7(@Vy+0I16mHU7RU&iRY_1 zTDd}`#g>0a_%o&@f@JN<I%#NIuLeR~gG1>xg+92ls=HXdc{nU#-57m&ls&mzbv{0- zSz+GLj;Rxd_G#>4F!eSTG|^zQU$(H+#cvl`%hO!_P_tf;ja^pMT*Fn>Smz^hEH&yb zFn34EHtvc8u)5+sYiMEKDm0@3Brw02UDCw6*r*dgW^}LOT;~{l1ao*M&pyW2zG-wZ z84UO)bk>-$6z-5gblvs@7oY#q(E9VEIXvaOY_*X0YoMJVC%8i%cllofSB!T%#`4s3 z@&wX2^*kFB4+ea@wE}Oo)-b9W+jjBTj6~pJJ++u9z*Sqd{J~6P1HPfL6ld|yc&y2y zqn;)Qx6Q@ah-+izP60zj#@g5fd6lR<dE&^-BjQzc>8OGhe=H={Dv%=M8cpeue(ub1 zB01#(34BAISL@&K>gbUci;a@@>64W(>ZobELzAE@aLbB*^1mtqti-ZIfwG7zy=<ga zvbe%Z03G$Qjj?CfVUdJ(R>it}Ra4Z07HvkH+`>^!vrS@;f~F2LYkJpEW2Hg8oj6ui zdG$kEXGP_x>+Q2DiTJ+c^Qxe_-D|0Xh$cmy?Kx|mvz3%hKF~T3N#JX%?O5f>#SjV4 z#-BI}xCPq%{KLg_%`}s#)5l~x`DK>nW$PnJfL4bbwcuy>zhbD~dU<K|eG_IAB00DB zi=_Ld47zCYp;nKtHV!q#=6^MKFo~SsxT_Am@D2NY)pylvx5QG_GV8zUy%$}KCrmIe zHy)E)T>1jf>i7^S2sV`6kxAeIHxpwF-I3xOBPFx}>e%`8#6%HJ}=?rCpY=0l4cX z0IU09Jn{?I5hji^$)sYxF)Q@#?Z2k`7DJFVia+=+InM^F%~PbCeYrL4Jj&uLoUWtE zJzy9Ffq+4O^Z$Q4|7@s89$wjBNhGc`%QdZa(iQQ|^Hfkxu%vky&z1QeeOFjfZ!zxW zsB53Ymd+VsNB{6*E?ukf0428f$4Vv_WJgBmE%RN_a^{*h(=n`BO|)i(aF$)1Hj5BB zaLJY|61<ZWLbR%d*4Ek{Tf=uY$J(c?6(1{X%^E?=Wz`yHzN{SypT<I{>df<704*n0 zX2g~JUf`+?hpp=2$Dn+n%Ff>^QsDd1ucC(8L0xQKs(DKl$fIdjfJ8-$?qI{p_&+iT zC5sjGbBG*I;I6hTA%pAamQ#8WEV^C}U9aP9$B+M99)}4#koBA-i$u`l@Q9z8;Ug(B z2w)Y;oy!*jrHiP-HoQ`_i!c>=JKzcqry9M>+uyp(%4coxSLwCntxa3?YmOw0H5D5- z8x5ly0|RGP@^bPX0Kk0bO|P0^9+sORKBu{nhE1h(i*HJr>7qkrA8A1|I*%6DzmoBY zDDw^)2wjBizzb>~i>b7#LRG^Q&MXX&sM^e=4usr_(i`Vh>d(R-q9nCHjue_`H1fp+ z)*Z2Zo0;5O#Z=Dt>yk(m;#SswuMmesnYZO1o7l3MmK0z10-g|;)f6$&0Z5mErC0o$ z@J+Vm-4}UZZE{i-#I6&9E*2DSrbCX#%XJ$wnCBcuS4o?RbzamQ-R9^`8epQ1PfUL< z$c5mPyfmg%C!&uN!{o}^JT5<?G*BEbm(V1ngge5u<ZS5ESmVpaCKhPtqWo<YM{jUt zOsyvlUe!+t0jvIFcHYupRIs7}lpVN^(rn0c{!bLNJ_ky3O8q;ngGU$AP-}2j``-0x z1#|Qd<p<3vT!>i2GeWN9CIM;8NJ;PD4f@I?A*t@F;1F)6=%q(a-q<2uJ{1ZTkBoH+ zXNnd9N^<P<-RyWwYuV^2i4W*r@+n)yLTyuf{5V(ffU*0CX3M6|pJk_t;Hx`2DrAe; zpW#KevbBkCU$uS2-^6C!4%RGL4F7>>L)ORH4UAiCTP`^Mz_)xfA+}jnf`juX=9c;F z@*s}D-$iF9uS_K*KYUj=Dh}p}dJlH*o)8zyGRf5lUrsI7(UB*(Fh;tn%0nZPRUeT% z@NIY=0DRAp!zQZ=i$_DN7pLlcQhm8J_5DzIxSLdjwA7o6t;ld!RSW)K35>Oc_KpmX zk;6^ent&o6y{YmRZL<YB<Z^OJt5nBaw4+6*@jG26RbrE(iKnM&e`DP(+2JSqYYPl& z56wCmH7nI8g<-lRZo24g#C1yMifX9DPAz2vK>6PZdR7OF>0wQ&;_!1?q+5oIPay>A zMa3jjJ~zju&O@n&zV|JF?#E6<B%|BZG@ZA}6NlTj4+&)n@$u($61m$YruV#3b?dc- z(V-9e>$=>NZwV<70=nn%@)TFkOWt{p`xq&L?p;2_*Iv)|{bR!Q$2@}XYdW^;WxK8f zAY;R2i2Q1crS+Wlm~WrWRR>2$JC61CfmU)Td+b?EUGZvLSmQI-dIrH%iAkAxz!jt+ zW175&hlz=UI3eLqWj|2nnc-pTS^&+)KA)S|h6vz+9oF`uwr=cr;6FxLl+10LFdo6< zoz<vX)CnhxqZQ8@*JGKX4p=8co-^|Wytm-H>#NI-o041(hAly#Jt+FLUURyNt_gpY z+r(dXBnLloSR~l-F)-1*)8(v_w71V!ZB;!^j6^{sy(t@y2=F$~TTT{JvlNM<U%b?o zuhf0=wvGsNXWC-8a#tDLu}XJ3`0nn!RL%0R(M?KXD^m?MD!OWxttY-Zyz?vptQK|@ z(l++{$XH(oFCDHQb8U4>`=_%K63VznaPVq}eBLK?C>n=|(jRls)Q(RL%@(G!EKi?O z*3RG%^b*D$4llD+7geoFMqI3n7K1~qK8=UF`EJ=s?hNF=Lzw5dlAja4woUPyYrS18 z>CYuE*lYI(6sg+)bu?p4U&huV#CN1puc0`am+VsXN91`~Ped2KUFeJELZq_zGcb1w zwPjH%yf%Dv**x!{MMa7B#}+=?!{eDtu7%2s*^92@0l%-cZ&EPsz=(Ks2BUj6ABfgX z{14#@TBMqaYyj@>3oe)Gcp9u+I;<)gqq-AK76?YCbpQUru-TG@Tpspq&CA0)-fEtS zrnudKQ2?%2t;5*}IWJ^XnspJ|>ZNoS`UHv&Ee)_?6y4G!E$v(q?bV9}%6ZdV(!|LM zUy0nFwW;b%dB#|-Ig^|`>Lcqm4$r<0TnxT#cuBf_mzz&z5S2OhXF<kp31Y33RS0sd zWFW>G8;9MQ3Pnc}h{qIM_~}@%Aph<=?k+cSl7a+DholhRgQ3yhqqzvLyM$XB*X%+M z>?l?p;ucDh?ZpX5<VfzVlCRN-k{JVI7p}IWD<)Mdr5N9XkyAbTE)>8u#p<-QiDh>c zL~6g8BblHX7a5ewT1qh4vFtZ7k%$CQ!N;EqrZnAy(Ex1gwJw_v$yfp97c(L@>G*_i z;rNd$p0tfRBBZ*p@Uf*LZ6C_h9%xZZNKlKb47v;CMby$XfubRf83j=2QSoLASx`+( zmI|BH%$Hc4c#0l`mAI~awND>5ENt;5rjj5h0;>*f$3bf&h$sKfg2L+C+`I*{lDpEH zpVK6p0|2)t?mwdV+#GsI0Z|tnjhT{Oh=1QZOGUA%5TmxK+$?2cuJkgMKn{VMsD0<- zC{|u2I8NVyIeL|qMNX=^+Eurd${AGVxTu=>7Qw0-rB{3Kf_c8@2Kv`EAEM`&8#9-N z6)cmh+{{*+2U;b`7fRFO@x!@)i^UVz5H7FCjRK+vd16JL%&6i!a7-5NXXJ8VU>;@P zk2b*9nV#A`u?64H5$n9~3=bdxjoQK_ZqpU|S1;!AqqbHd6UeJ<U(L7Nki>d-xkxr; z{a+IccHE4NbJ)f%cju*7sQATs(TfR(TO2M$td3tr1tgFpL?Ib!(|rF*H|OB@CD-cm zF9554%}$=UuH4<rEapb9jv!?ZN&f!(<coW8N@bNCaE0V6wsEqc_jd#G?<RVrVsZB* z>tDd{8k6}O(@hjgcYL#R8LpCr%LZ=S+7OiScm4&POHq=?<&}b!QSZI(s=iW!W#nVl zEaV!ISw;=C(jiWcZdq~6CUSxgY}v|%aDc^BC%!^g$##ClPfUvQp9O}hN53pxb)_1> znsY5)=f?{jmrS_gIAihGc{p+(WcV<lu6W`{Uv2XzmML|hzlJeLWemnsHnkM?_l5W# z_C9An4IK5q-QDHi#BZX)Zte=^@Me{%Xj`|NlDr-1tA3;uMnWVT!3go>9(DquCjp2B zIR({HCPkuy14;&pcnC6g{qJ9UzK`Ij(mj)SCUYdIIh<UMyHk8#KeCRp9I=@kW%Tsa z@8-+dAzcujx<RZeJNZ8N9k21!bQm0u^W=7)5B}KIalVG8X2-`{&j;Uc7kVDoUUaQK zr+i;KUu?YhfX}1ze!%yg|HGwJ58ye<4$tf8eB1t6rl#v%VwvZ4pjl?GPY3U!JO8^v zhUBM@>yGffKCS^g?H+gC+sXOfgZm7S+hnBfOtbYokKuc51fh4o^GHd3--g9>)!pSS zcUOO`;W}{M(QmhYz4JXyW&oaSmV2JA7&<Qo$mvsj>qkN+1l?jDa2jM#0jU_Z&tEt= zrCTt@*HG~c+$PuG9M0y3duEFV2dHdg`ol+<cz9cFjfvgu&Muudh0F#XM;A5t%4a#~ z>&jWQm1M6&v@{os`Q+diJ`q1mhcOuhN*7xTLD^Vxa&on%F&#B}8XV2`-IdA7n(uZ+ zgf`cU%izoI#+JjDng?WjK+`iV-ka*aZ$2+)9f6JG{NSMCmYnNl_<m85TF={A1-|dg z%p7CP+TbU+#Jj#{YjIdiyN_A`t4GWO@~Ru{Mq#!364`N<n<=GCP4`>T9ND4cmYioR zn8<7gMEHs;{&p*aC%~iITg8Q2udRn0^*c_wM{C+8Mw^Ytmt^J%Q02>1{ou<|G_03u z(;z(|(eN=A0>m4*S#y(zc3C=L#mnWTg`!t?%#x$gdb!8Oy{qHuy*hnUURNgIVa4ts z+-q`Ov>;cmbX~W<TzY~1hTY&GG8{h?pk&arpJMa*MkrnWGi3M7_m0c7lqtX17|+t1 zTJfqO2T#ss(n$sZL}!{6pXLb-4Dh`K9L8mFm6RM(?5}p`?_J%VN3d$NzvYRQkVotM zAuefqnu*`Wr@ti5aO-cDc-jX$OV*0!xtgg>=P+GA(DY(GT7Dw;x#!uxnOu~L!Q*nc z$S;yO#T*!-N28G$=d(zNfd?!!jkOgOt=qNOIGUcWfXMLzoU1}?kbE|3mc`GT;#kvY zaJdw^PbLo{8w0%b)LX8p)(+Cw?Erl+@VJ_q@jlBST+cdI&pO$U$Q+H25)>u%gm-l} z9wD3en2RFxk(XvD*OOOPl^?1cNin`AzUJ3viB6Rl-NPsP97z^wD!#sF!>*=w16w^8 zHm{e|KcSj{9FPg0@Q5<4)6=2-D;mi;FrH4DoEp=|TkGy00aNUn`gun{0jsU(KM1a` z?qd~mCopY#`*T<m^KWbM&6(ESCGe*xX*A0^B9o!krgcn}Qwi#&hAi^=M{0UI0Zz;% zn_@WBuSmGVHPN(#nb?pwU&HXhs_13g1<hS83NtwXpH7P*?gJVFXPr3=4>{*%Soni^ zmW9IAxYv^OS6Y}c!&Oc?b-gD$-aFyX|Da{kh1J~3y{6l7epWm|LYd^Djd&tn^Cm@o zO0_n5M=n9uxFd>?gc}U{JlsgJE4)Gk%cKP#x7O>PdAx(iou3A8*Hp>D6P`(RT-2!R zyI3(mb`=3lsLi?G7o0LhJXp!GMo5DWR`xI}95!-X$WUuAM&2_6|Iu8G_QIr(6{Fxn zqf|SHD5Yu;*|PVl?H9Avh1rgy+`cx&7a99mJ$?%=nVpcA;*L;kjKVv~s7Z7){ixQU zjwF6k1#Xedfv3T43Vun7SEF^TsXZcB=%NE)S!NQL*{m@xaR%NKAN<%;FXU;dw>e^L zi)Nfc;ePH9-3H}=9Hz}NRv6uyKd6psM?7&nmejfj;(-H%4{~^oKp`B_D#bI5?>sBn zHsxBfV}P<O5d6APTUxz@WbtNBlo!&IcW**?V+Gco=0DwQ0|{Gh%88+}IkQHE9L{b4 zfsdi=7>FskVA5rUBIyr@n-^4YY<4uk7b%mx=`3Z(w^%6T7SSYMppMmaE6`CsZn#bD z%Aeg!xM&w)a9$(hRK!WKezg0%SGyFR4r}a3)<YeZ`$T|rO$qGTmcL>UC+3J;*TT5S z{{i-K;7n#t=s;!+@v)eJl`5%>OV<NH0yiF@c{eIc8+vgo%o%y`Oc?3@<TH&Xy|IsJ zg5OASUh7o>LLYw{C@E(G5pUrIHyCvH&g5wz`J`AT1!rU-Y!UT2=Wcp~>nibj?$X+` zjB0N7`V?fVNSq~brPinh?K9W?GB0j;TI7hnIjy|@9I5#h6+QoX1t&womtGB+AE<9g zDC%U-kHk%WdlHE?9c><H_VZ~g8C%Fjvg~UHocenh$&FeIiF2*u%!$M^q{35mfa_MP zWRBkshA_N=<6F*FbGE9+<@~@R^e^t>(;-GK_5!{+{Lryww;CCh2g^{!2*GyCj;tR7 zZEb&I@u_FZNjlgqXlxpu*hv8RR}Nrns@_{t2O}NYVs$pZ&x|$cOU<$yr+(81=Bbu_ zWCo^dH7;*YVXw|&dT8GRmj!&n_Ogat@^rx)A$L^^bd0AY+eaycW$VRdB~*i&J~y(? z2lQ9gWF&19Rkdn)6>%@4$JbBb{{zoq%==^zEvDv_Sy#zfT5iyylRXE-=&uhxp%%DF z0AiyJeAl!&J;~U=l9k{7O47m6ci-*Jf|M6VI>Q#|5%ZOq+KF_X>?Bm<$oFl{3_gpq zIb5!3#dYbO{P!{0glw#o@dcT~NTO0|Z&HlL4@cX=kM34;mFDVsQ(bkF8Kd{QSYEbr zdX-m?7Sst_{Q7>clmJwBUY$%7wACWL-(*INAFr3pt+PypINi_5{pIq#k~>1nDM0`C ze)KHIduxU7F)^n@|FP1SGRb@Hb^TspruRB*)_GO^{(}~H@x5^2dwzbW;&a~|<9qMr z6<vE9K4bTIUo^RGe<qD5h}N84s;<lh)I4>=UzYV{B&O4kfdjhkYp#7$WFdHOzKYno zztMZXKfl`^nwGn29Q}RA<~INN?qk>VT-NV?M%ZoG`5vpZXgi*7LOczg^<De!Bj9!3 zrP%gZd>wRDQ_HP0ALE7icUvS-9mJ<=#B&84{2!{`DlCqs3l~k$Bv=9jcXxMpcXxLf zd~oOh0fM`0aCg@LK_|F71a}{TZ@z!;b8#-apQ_bWtGcR|zek%R`rUkPVq=SsxQGD; zh8<pVOyXU(N58*+PfxF?$w>#6T9}iJO*$-n?xNxc5`*W!HyrhkGRl$X5?ijf%>rPn z!-{If?psiL9M^qJV>lm=Us1-$vIJwYTw>xQbj`V6npk6+3P~-o=0%#iyx|^v)@^YO z@#>aXr;<0$?);ll6R_X0(eqLqrm?xa6PFRc@=bX$FQxk;WNRxdr5k`YQMMfgv^<9V zWA<|GX7>r(@5`)Np9cO2JXtn1atQLaHM;Kw{%Q_C=@@@r{`+VTGP^UpI>{SJyb8Ed z9dU@H9oZTVi|bqs(`zh2UAo3@N<<+Cg9NH(b(@Ssu6XTrMsguIw2ao)uKtkg8+GP4 z%@4X3377uri0fU;3=lsZLcMMR7;D@oj5Ur1@A;*3aU`#<Go^$=QV*ZJqg%=wn0ed9 zPk}Z*O<ugR=lkcV<dxa%t7}i_wG27&+2h5Q+~Gj8(DN4}8t(PCgs<hZ3?+q}H18X| zrt4Aqu&~q=$97vQS;yK$^9e(3;w1ltg%?WInvMH0>6(iz;IUAs9rY^E+`e(7pjj~` zhLNydIz5aiBfYF?WN4g$(f|DMwf^QIdq1Vq2E%*ZuID?|!e$zAhg$Q+ls*+;Dy0~E z(s4EFwx5&`V3teI{9NeXl->T^%L;b4*0}nqIJlElXxu0BCSVz6mP!*p&$oDV?`-)) z&m`}?(Y%oW^*6p|wH+2a0e88nF=rb6LX9JvHMjDSwS*ts6-bC4PGhQ8`oES`&5!t3 z{6%oB`EEes_<99YXr){0CmSgavuRLkOESF#Ys&g(#qF)60LmxFDKGIgUym)ic8&*# zx_RA+@i{g+-FH$mCQi<I*P+Q48xzp@&gzX6NlV~}Ds`+}{*D#zxjnY&trqzGPj#c$ zsd^z5!NT^~o|8P8_(<xA13t?3HO1x$-Mjlp?`H6P>)=Vk^J<lFkiS(TO3c#Bfj1X! zIY|^c<6(Tq4;uMsFv&!7qp$_DHnP0Vd<56eFw=`^BCPfKd~L!O_NNE7Mvg>}k6aA~ z$~(YcLR<&Sxnxgpp`4(uZF~M~Y09JtHf3t9ohFLWZQ^T<UR6LiP75ht$1*GNGR5h% zIWEL9mdOaYo?L`=?%IW9BI+de^YCTi%bZa5#!-b?8`l7lem!iMhyF1e(fD7c-jd!$ z;I@qGv{b&8q{_rMq~o00css!GCb2SdxJdV=T6gCGWc!BD=2%WRuz#%M&$9Aw^e(`E z+uMI4y}ER>JXq$`f&tR@we_oI6IhMNaFM!~h_+l5@;$TBzu3nn9@W&X?fgeS4_6cA zYPb&ga9s*I+yn=g8n_LQ1uQbboFP@@L2+GplQST0DrWc}BldG7%wHUoH=c=h=$5H1 zXq{-SvgSu+Rs~2ORThQresittN8EQ2$9lUOTXs;6kA6DMl{?K7!bxYT);&_UztoE@ zAFy*v9XO%vC;Y&lvly7{(=wWDY&6%+NV|t=PFzkTun?wqQ;pj02}p7?@*edZYvDOm z=*CkCpEr>eWfYM67JLn<V%NPd1GC+XICw&Ln<<ljK3{WdJREZ85;k;BuGt$Zxq(<5 zX@U_5MFL7x0?JM9CF!9Na{{wwlA{qWVF7I&qKev>omEuFXmb@@jPkY9>K+Btyts=H z%B%SXTchur#9gIQ06yIo(@etc@59k#%a3zZpN^Qcb<^h}52x>qGztWhhm~EB{2TnL zJf>R#$c$wTRS#b$SygIcu>TD|dRu|x0qp|V60~~*%2BDltp}J2mxd!v{}sITMM<VX zr37owEL3rJ$hXMo_}?f+>JmjEZk)f#9vbk6h<Y*9R|^2m?=$l%znGLd)+=`ueh{M2 zX~(S7lHRTMFjUnZlz6NT?WKX1>0gmF-lpo<gHCg}A7nZf7W;3%GJCYx*H7?`h}lWk zv9WQCCRwXvCwG(Hk5+h^b9pL@&wAeRRSxmiyUng2r?nWf@$Ifx7alXt58T7noSK<- zG1A$GumH(=aJ&0T5J3su;X$sY#Lr{CYVk4J<XWN*8+DMn9b9P48#squ>{)zaF(EUs z4G(9$?zIleU<cna`GjO;N?OUFQcQC}b;wF=dqw#@+B$Kfx<?}I+#AuG_G$+f3B~bf z3qnU_C8e$?l6VhkOHKrY#duDo1>3bF>+O>(0Rdaadr??#E;sMr1!!cR##4gP>nRjB zOq~~hTZm$3kvx&%M$a1hOeVW$IMkO6h(pPrZ}52(MJgVd78qV7U89M9?2zhPrgJ49 zW6$PN(}C(8m=pSeBgwKgMt1u|8f5bDkd2;y{x$25JNVTvTi2thkV}gR6weBv;x}*v zs0uGVmY+S`<Z)~-|26{eKa5NdIQie-B38fd5);uG6P2s`I<<B@ITdW_#*Pb1zoZh; z+%^xVo8DoD=~13E@O<dPjLVfUo}xPUE=|4t=};89D?OFUKdGGJ>ki2Id<0GVL%&j5 zO>mb{w(n;ROG+?351VoZ=!0_5>TJe=Fg^EHREQ9yoz-_d{F!08I4nHFUn&f;v2!Hv zw6O!T!tyA7&+0cK*Z}t06ZTlY{WYh4lntw_eY9FDpdca!y`d83s=ksHKAIZQ!vv8j zb0wPO%3Q<WmsmMu`W>e=hWlGYOOx^)t6K7DB<Cw?tSj%>T3tEW+HPJq|MCRJaonW* zA6E$JIz#59ave>7f|_Nfs4y^^|3dGnwUyUyzcq&6V0fdBMzycipnQ5+ta)fO%47jU zs4V$WwKj5bmfee_>hFVzjPdKY*|cYbaQ+;fbcnSXPlamUQIFeYR>oLd%jbgCg;4+g z#P_Sz(mzgeGy$E>$a2S_(d`1pNrv3EVHH7&c02{cOD5@N^Pz+MOdBfAptv%q<j>zX zT&2!4bTQASGrB7dgM&udME<Aif4I9YKx0;*V|VYwb=O6m?dHx0Ft!5Gg6D$hGQHBS zUJ?Ti?jb|F*&+{EvUpuVYkfM?m0zozKw<SRVp@H=vL&s(UhO_jUJcm&f`0`$yuu7L zO92f%q1T`YZdi=*<F1WHaJH}z{nJ1~@^1UVBQK+1YOfsgd$l#dZ0EtdOS8fe1dTb8 zFVd&<s3rhuXP0v{SGm!>KCBg6k|sl4PO%UYAO~b?J(rR%nq>oc;jOCG+8?^z6UYkD z<5TFU8tNvP2fCiGk%7GopR~4>c!CphU)$R9w8^H__}1#v4U5qZm4|juO}jd=Iv1oD zFv)rETvGXBnMyjtTxkQ-U#MYoEL<6~0E+pLVAbMYMKV@ot9hG8%Yf$0jtm=V>g4_- zSGcS|hquzS0MeAPm)6fJ4QZdiX2||y^;4W{c46WD<p2%2E<mB4)yib<=8FyOp6Q@# z(j(3O(ApT*i5TxfceYH(-S~EVyUV)u=6Ei3=7g#p*bZ<RR^@rUSYnRJLS}<bnb-s~ zxr0~Pt<?ok`Gq!JcDXd0Dsk(L597R47_y42#WSty5!eU((1y5ubxN=*3fICYU1$DA zaGyBVFVo!r0gl`wL%_~;Z@kd;j{yk}B{;gae$gvWdeSaKE`OFO7DV!u7O0p^slf2^ zyIJ2HJY6&CKFu22e%2tLuj{c*YA2it`s+@Y(V-r&S{$%pvGV(6Jg;trlinP=4#Oz) z;MPd1VpmS`>Srha9b1#81bVWvt_2O?VS%x?Gci*O^fw%*>RY>>D~s7C_VS-2_p7vv z6Opw=t3D{M3;%H_-HToTv2m$r_`i9CBkAg6BW5$n7pOcjSIC{dP<G8zIjL=Rs+RNh z4DV0yJop1g^#d76kbB;pQTv^V?3KS69i3j6ffA{arxGr^=5Ga$4O$w<&CzxuA+%cl zJa;v~t@;Yd%N|H&2t}*Tpm8MPX$7=VgrM#4%Ogi1O>=#%#Ad=1A70kjEug@%Jxj|+ zDHZ9&#HFEH(WvZCh}!V%Xb{EP%b(GtY^Ndm{YUmLZUA(zUcfcGGiP5zzT5_VGMZSs zoOn~i9wEZgnT^EY3VVeG-QI|E?fH?l+a=)5XmTv+(fP~mkWX@w>i8Wi{(HD5beST) zCT^xCokYFr%%3B!`U<EIXPp&|p)&1*0)UmKK!@?0Yf1c6S2339cHO_)aPH1quy}5C z$tk*nC`kCwCqA1|1#+it<^4sEX)~p^^pr(wFs2^q+`{4HyD{lug|$_2kbXRQ!xP{+ zMzZ~2(xa|;%}vb)thLY{Ta_AnKmH9ScG_t7>7aUF`N1t8D|uQi`FWZRs+aPN-hy2< z+>jadM^Qu4bs2eJQqmvq<RH^GNP@Ge{-KpnE{@+fIo>MXJ$xzey1CZnklI7*%QV^F zUY?tiyWh<U^?yql&X%j#@#XXvQ{n)mNcGgC-8_yx%O<_DBoFQ?{EpHoq-OF~b2+f4 zZcDAOEs1aIUlrr*W7Wbd!C1P6x$?sLAd2+y3r$yzkTL_yno}hOpU5tD`4}o)w2#H9 z=N%Mb!lt>xnoD|$g{i-hpu4Z4MM9;~LL?Q5LZ-P>g)xh*F%A3x+HgS)_*89ODxLDp zV=atOQ1V<Vt#2Q{++_J!-8r!ccQ0znUr#Dij`(ku(u?zl(3U2+Sq+!*k-CV=p=a3V zN2EpY$#4$|#@%wad9aH(F`~KWy$=1+_tZdY1We$UxnW*bgb2$&8LpUsCO;jjV8Pg= zTxNEdTd2UZXT!~?j?Fd1JZN>YqIbQ(NYffAnKs8hA-cb2lqaQx!yno>Uq62#UV=6( z-MbePR_}h>rki=P?fL7nyV;P<rlqz;bbnxf+ibd6YA#S>*H}5%$?UjZ>&H&Inm>BE zu`y6Y&s};JcBnnBC)8y(vfI$X@ipgIO*EU?YTzq9q<b9vTzIW%BmeQRyNOBMN&d^4 ze)vcvUq`(RZ?(*DDE&TTrc$cntqmD&OHcO-b1&i8>D*^Ih^oJ;UN21%=(UbkxiPcs zR3teXf5gOcpKjWhSLtCN*ZNF5c#hMSL>mxalqcTJCvC&(3zdmpiFZ%ph~H8IbHu>< za;9~V{TVMuYZm6rQngqX38SxX=2*XUmXEw|k9)3qP!|uM*3*nRH*VBMM%?aoPom4t z_-E<LscLevYvj6CE8wTQ!p-y&@^Vjjrh?B~8QJp09S=$t{Sq5h$6w2^Xk;Ek2L=p| zCk-7m{#@7_JOIz-6Df8W<-v6E`|z^XE`T(dElJ`irDs`!y_)>h;}?$}j8REXs9^*g z2ys2c``m8UEW`|4rpMtrW_}~v5n7)>nEZsdZ_{ZlDw}#Q{`Kg@@UirXul-YxjsYt} zq!*UQYoYbmw=j@`usPl2(7h1ret;w4I4F_klY*B8Uvf_V>^B2drw&Cv%R9#r5i%6= z-l6Rgo&Ov%*zc{ab?=e^bxJ)#9=Bgc*J<A|wz}-ZstdVYmE-((!ns_W1+pSh+oe>g z@Vz+*1UCvs9m?pgB61sASXvi)T32$n2pa#o4jK4m^O+$~_lZ;=aJhy)0gfx$0uIs0 zc~?!0qF&9lFJu2Ulkk2N>bbd&W|nWO+nGL<3c54MEwh`E8`?G!$RRLB7dLPx<$%7c z0o#VrdX;gf#PMtmg9i<V+cVix#k<PwvA9VE9WUSfH56@T17xGDK*E=tCtXVm8K8Ef zsm;<xNM6L?Zk}mjY^iq#5VIM)^}d0h=nYU96<ZCYfCuTgZxgj;P!>Og&(U(2nVYt4 z{z1U8W3{nqN!LU?H54Wd<E`&HAD~FlvqEp{7Vh&?LFT$l<3#{@q(!h&LtZliu|z@- z&q6FYmjJSYao;H)Qnp-%QMKET>C2o=@RvC$bUHU`lrecKJ4CJrSjcweGmh}5w&|~` ze@sC5{J^k?u_HsdPn=9OLzke8O<6E*?Zdl#Nx@t(r&qLhN<<qqHe+$w8Dc>}+?+*C zt;+=`%0MhQE+wa!JF4bK5Tof=sVNVRz&a7<3KDdR?#Vb&Tab?QV7v-7GUjnni#d|> zA1U1rJt~W|qGK%p&J*Cs0iw`@Sb_h>jz@!r_h>pwpD37$YRHE%5$bkL#nOQ2krBzv zt^DCEBlQki@VW~sHW0G85m{CvHPkJ2H`b0g6eI08?|#hbP4K=xJ@?(XWAYkB5xy*b zwRQ|(O3M{=U-Hd;-n7nrP68hOaKBl=LSs8m<7+l<k$@7Rn`Gfsx^yOuiV!lnD^_vf zJ7K@)25$16+{WviH8Uaq&2CNCq0x%+S?BhsvftmO+<#Xy(q|9ZPU&a?yX3^rYwXlL z_xq8)J!Jdu1}7LdE?I?-=j5u!v+Crt`BP6k<e6#joOVooQ<@sxFD7r?%Q)B)ibf|_ zR35OMDuCw0h+WXtu+vMm-w_Trv`EckkDl@B;8%+W5#2O**F!a9+>`4<#F3Y%r11S> z@Ga;ngt=7PzUoh%`AU%@G@yE0!;pUS&jLtwf86jNkG2J9gYrMi(ol@x!$CjV<A(BV zNr%+@R!}ke-Q3nel3z3>aqfwCo7_&9d8>2)5Nk7w<?q#9uaXn-I$V4#Gu?$^PDFgn z6-JeNyS$(Cy@m2kzP{9~NjLTy{8ts<$<1+gRK22hy`6fBZ1^ys+iqVmmOLH3%D=u4 zt=6t4)#x;xqRxb-oI}&Ixqo8xM~Ru%H_Q9rr&;c82=kxP5!t0MZ+y9lt7f~*>P4Xi zU_X{Hk&72P{Wh7GwQ4ikTF@2s99KFYYXgS>!@lu)=qR(7ev_h>gDvf&cWXF?omD=8 zaG9<!ZE`5JwbhP)M33p>Mmeb3YMKgdiIPf*VN8LbV^jKQSz;Y~0cxmD9ZEfyF!5}0 zlFQYy`?5EFrmm3SN(XMdV-_+dYkCB5hU(qhHZGkVgehLsGmYWDq5%aQ_c^nb%t5O) ztt9wntNxL8XX38J1qu-VsAbUl{?#L{u&)nmbP=nXY--&@R_5^>Nqb+#kwX#NeT$B2 zH>$7V1)nI}u;=Z1$bsOxW*O2~xegVnW67H-C->2c?sYyJ!lEJh82_@NuLpqarLD5u zn$1uDS>@Ha&w69&Ck^*vBy()p#Ckh<M0;V`X3d0|58>-Wh&#`Yx!*Mgrrb20dy}3e zVeWNdJoPBC3lUsn`&B!lp_n`$)qMHmB+wvXW@U=wD-fyY4+TB@(t^t>ks^e`Ouy<3 zF#QVTV!!t~;G~h>cGB<(RR;r-V7d(XXhEcys85BGvtKB&u_yz7KYc2gxUCSXTCg(X zsK?G590|C^;$1%1y7n=sjxdPRa;8T)A#%v8oLQv&?F!i*CHgCS4F^oN2U*LXwLBIJ z*lcxaKz<iVo%J+l4|NMSdOp~-At?AHWMjWwf(<gR6XMg{JuUg_p4dRA%aJF&zg%}B z_N_#hY=+1(xrSRauLBOs$)w`&*MC<NHMQHY0dpm{%fqB%D@8>ui`al9p>E^$vBqB^ znC9$KGbii>s7#P#=E%4Q>DW+Cl+<oVuFyv}R~FV$n>LGG*~=5eZ4t+w6ntFl(Vz46 z;XgaPRvatVqL-BZ@<jkCKdgV=cu&yHx`KBwkNkW;PPy&uI-RK(sl-;;xa{Zi(cf{) zBur!MDLqc+iGrm|u?ke6HgjFNTIKGf#C>^>-LqjC4o#>JR|m6ZX~={7eLWhcbS2$( zjTFA+&Lla;alTDK>QZF`o0o1#)2fA$IF!~ld}?mfZBL)fTke532ZFuZRjW_&bYK?> zB|%2yOo+zhcF46wv}&!rw5;@uYknnl(#eR@Qh`$GS-g2f{C!Ty^ol@*3S=&RgM_UB zde*8@v7M@9Sd6vH{UhjNwuq9Qw4)(HPO)@G*YYoiAm5rRtDz1{TPIS8d(jUbp=u%e zuz%`hE4ayx?l{1s4m-JVJo5KQD=o@0f(^)430XR)^UaS=P&@<l+qtex_nvM@vr0A( zDlDUCZ*KH7Y`uNNbeXe@08v`=9eX)V7S;<#tuN!fZLlXj;}BlkZe3#t41eRn;uxWx z%Yt_1Fz>=u9GyA3p{&Ny^eI2)#{@ex+Oo_GH|L7nIXmE|7F7M8$S;s`?b*ot?5d&@ zsp^3pb*yxIi`ZM_Oq-K+NJs6$%rU$EH=iY&f07+Kb{Y@%NJE<SUUJdGk^^^whhDTq zveOL<V<XCVNjdGGiF=ry59LToj05qqoPHLfGS}a26Efx5SJY@EEt&RwUFs3$RBb;# zwJy1Bm@NZh&)Z~(XoYczQZ^XX5YOVFd;w3h7`wa;RFBAm{W101kA~vM&Z3V-{14qV z0x!<ahgBPXFB?xU;=->v!h(01#K2uJanDXKb(e?N!lFE|SL2k;e^E4}?7c($y0yyO zbpT%IGE6;>H)d*Y=%2GQy>*2<NxZ1$?ml0ykpLF_E{8YPQUjnj*1%cI%k#!LFfUK+ zcL7D5SZ(+G7iu3HaG2?ac-Gc%lL2_`ww-r9L<21RKhJPkf}NmF*F#iK73%h!cv@Gi z@m*-cA)6WT3E3HyuO~F|v+pzU{6wm$$FKJ8_30bf<t6K3&tg>?N5-?SG@tqqiM(}| z0SP?{ZcpZ}9oX36W;y+=JJUAoz7?*rr;-<&FGYolSlQR&PW}~o|1La<UxE^R@vN-I zOT*cr?2m_T51U~<nN1^+J@j{C|5m6b4l}vZ%Qrl;@BV(VO-xTW_1*Xtv@$5^ucEfr zeVie^)9&lELh&#aV4H}KEp?7ID?xF`2z2X9UzQYW>;@2)398$z`Y+heoIXeK#Tk>P zpdC7Cgm*e2lfO3ixF-W(yDF&`KdUY5-IoDhqr?nsl+yaug;wVkG|8iKin=@Y!O>!A zm)8Bk`;cHnGEU2LPl3;pca2(l)TwxnO4JMMV_;%_FOUb2xJE5`)&IqD!+q}$&?Y_p zd>p0F?w^b-U$5K&T_#k{Wf)0MPc=Ppd=q)Zied=cx%h`%LGewIYSu!oN<o!Ow97lc zKdw*#Czt1snnufgd%kVMH@)_!@MUKP3(yEVVHZ$+XKv}%f$J_vSQXX?rbVUfP<$aL zGT(Ix8dfPtL3L-k|4iX4OnVI!7tc<&6;1dxgpjp2{s>EjG`H@aHT)Afi&eIS7rp6N zdX5T?wK!BwQ<QJ_PbEM;a?l&^R7;1_o%}ohpE~3n^voyma`lL{%NwPaQE;OxoBFw1 zzu3~iM`n+C_@?g=c3CDUW6tA1^+y;mSg`C+M;HINx@NSICJ4NxqeWL4mHf}9wftt& z($XFz*Y+sFK|_)itW?)3I;iQaT27%)ar8zJ)D_iBxod^1flELN7^--1C}V>n%Xe>R zjxPR42_%5*s(&@N9$rLgIQOwkYqupv^hLYZsn9w~Qdl7tY=OGevI}Lztmw?6i_XK` z*FD0!evjQnuPFvhqjeQiZTF+{oVta-B<nz=X~@fd5U12MZo6>iOHmFO2{M<_sm#!^ zcrZj`LUQ5S^5;5g@2I;frnTtZZTU>gOB!4(S>I5{7g1Ww+G3};!tDugZBq{x@@_Vs z$|vUS60IWf`9E3e9K$uGW$%mCc&bVYYDGWp<HC3^u+Ras9`sfJvgmTv2G^a`9_#Rz zdMvxldvo5kZt8C%cT-hp6C*dR9*|^yi9YZgR$UE|5Hic27_YTjvmT^N;Kyr-<CYQH zov|5mdeq3Qnw6}q@E57}j_UvSEuF@`O=E5tzJJ;TB{-H*{XQ{Nb8RquB<m?5jP)q* zWFU#{UNQuLdXb%LSd>QNC1f^*##lWvWuNX@gmRl+$ggWyMt=Qb{}EA<hC7`AZ5PUe zEd`2APw?H|&HFN{t3@M?ZA-^{&348zy}6jnxY%!6<Wx;b_j%U?k^Jw~mGbNsl7w)Q zv1WX~f(Ag7x+HYgRG5PfmBT)(iNk9V>=l&FlCuWxlRg=kM-7jac#MCuub-5tUNg|z zKv3OSEh*Z0Z<T+{7oyoMO?icnE_zwbGMPZtQAzgZt}cPQ-zs!eiZ8I<u2a6NJmA81 zz%QiBEGJDSO36+70hPa+B7Jn@ek+o>U`|1W5`Rmy6x?Q6j?|tmNF>30=mO8D)oICO ztz8eyrkbLWQ_0gvv$1Lm&%}C_#dbd$l9neEuos`Ivw?+%vMq4A<Fh}?%vKO3%r)H9 zJFKGhpDb_8LSYMLTVrmKzzm5@f^lf1piB#pi3aUxhkSF=pG)eSF>u^8?dm<qB<lAc zRQ8vc%a*gqvmbKP%6~0lpS~@0j*&M|1~&pfmM9aUB_b3Y54%d-1=PW@(85_%Ogdo> zi&(VY=)v+#!q=Cm*siUb9PkLS^nNlk+P1~{8B4dd3KLbrlGIq2?NDc12ielO^YiZ- zE^h$Q%coxMz6f=N>d1MWs>kQm_xyFa!RR72S<TCs0>q{kv&-!?`whfunLnMq=Ln1t z%gB$488yky3f~RY_)%sxEEFT`S1EXCSGWJZYV%wFQ!XH=sB`Rl_@)g)LX3T@K#6F6 zUULx?oJf+H!&P9TkN~Gd=@Y^zNPjt(u29FF`tu4^|Cvydy;0}rq7nF9Y)V;c_rOI= zxM}1dXy8w7|3BJ$E~7K;Sy#B06`<5I33MENeI_)d>I)QDv`Bm18L18CeBVrbi)tjC z<FV6D7<R+i?H;&D9$l{~EvBG-O@j!vsFp3f9mQO>KQ5YYlroL?@F$inoQMsXvo>;V z?sTt|&-68p?3y^(%sPVVd)VUFUU#6ja)f*u2^7TQhs6Gsn)cuX<Ct1fz$r04UiNl! z4k{a!%WI!Vof@j$I8wjyT&pYa(foB&R&rSd<$m`A{uP(^;!Eq$96S4eg8ojrDSwj6 zDRp94J={j<^EHD=(M~G4!|+PsO-MpU=dzF_SAy|jR)*aqRrgs(_t{ECq2Vp9aq{TO zzXQ+Asv3p{%K(}Q`=mO+?`BsK=TY6Me0ncp_&IWLy=T#(siY|rBUi{dc?U_*=f!B2 zdjXxZc0#EY@6T|8yU@^%xv|uii?N-U;OEkhUeFZP(-b#!7?|uYEcenhP~WOC1m5c_ z8f2q$Rm)}dWC!h}QJd@`mg7o+`)8Otdgv)_7mEGTqZ3Fc+`0mo1mE&f8vas31lvOL z-8|^VY7UIJN~|$VUk%(^{;Gf+#NkTHJL;{f>doqMnk1?=FH-F;U&4!SGtG{ukN%EL zAJ^YdEh|r$%;TB{sCN=5?l3Wa9C+V}W8Jn&G`8c?BbEHRr|sXYmiYwgFks5n(k`f| zvwEzyLvSK{zUBwem+JiB9ULe`t;6XbWK(GRtq$jq*J7fc<XYrUG`yiZ3!(YKHd84* zq?z+Hi^?>+J%Z;E`?LAdj2K<{s{SqD438SW#KEh|N)x`<uV+Vx3+0Lpif!Xz$rUMw z4q_a0OSgBfr-N8I{=}=Lcv2Z+8FK474|5cBPjymrr(r;&Zp#-d*vx$HxROj=gxA{4 zB5+fshEmT-kCmzSc^uKUJdXElYQ{|^Q85qeu`Y@h)@skf93&+pS--<UuRU~Cgrm#( z>R=M%&$4bR<HBKhT^PS%P|fj#BX2zWejrCDUAoYbvLHQhtZc}qg3;zwcc`~-p+p0^ zT77mUeg+yP{63m1)*N3Hg;p(?pFXRmJ^K~n8S~&)gg0F`8-;15L<ud7s;!u&>-uiz zvwCt=*n6qPP#1|r126c@-e+HppDY^u)|81KpU+a4s?c|vdIr1Y-?UHm@eFML7jj|M zfMx8B=_<V{<MAB8P*}NVFHU-TiNy@@ip3ic1D0~j-Mgr&-!f=28Ai0P*1ub%a%&z2 zNZKTxW!9*h)tx`wlq`8xiO&@;UHJ{dGfr4LH1qNPg18ZAl1+g9JPAUyIUx(rHv#R+ z_HFB+(WifwZ|!!=n#v^ByfsiywxW@!aAS84uh+lt2z#q1L$wQisQn5wb9vcaCyNn* z1vF(PrJRxRUOdYapXFZzhRpT8@Q$U5-N17)nxfH`dge)Yp|6A?v#mIYjGNDAUis=V zv15EL8HRh7N}hdMxpUd`7M$r`v_%US2%<F+Zo7K8k323rdk(vGWg-4AuPo0E28zUh z--thtKxFvApkj8p&a+C;7k|7dS_N1Qp00i9Zc%$B*uI7w?Y8^GcIVjr%g|x2b6@h| zO^f|C5AXM2*Ogp_`*^68vTOzU7w}HESNACMm}H^-d%%E{uTVN>nAF5^Tl$f=`%0fx zx#1W;wA`*ynJnCIQIj}Dd2Zs8)9At4*uHtm=g=<@q06F!P+7^zV`U`v9ZYI4HG(5m z*XPB69+e)0L)Ocu#NEt4m@pqmzP*FNM_;sx<=1UeEXvhQmVil(r$*o>7NLf7r`B4& z2gf}7>_hV4;L2fSIh(7<iXNLa!DS4otTYH<{F{PrP`847;hP4d*&|lE&BJR|x?Jz5 zX2i_sR8ks*5F!UH5hss8=+4I2HSP-Rh+aGSWWhc+>V@CnStD~%s_GjNLXmwqcWbS# zu>D+jgp?+zRG#>RH15tlb=RB4Kr+>I8=itI(D73mQb14U0BFD7ofr2&?OA>siI9#~ zOEqkMb-#k}!7hwR?Z~YK)iFo4CW3EHnNJ6#75$&(U5>C81n+LoxzXzuq!(;nc1k<> zSK0k!msfP9p{tDZziE8OzsddP*eSaWZ17}jz!4?FDCTV7ysnw61xHK$_x-T1d6t1l zVRn(BO*C~xpOUuqwnQ1_kXu}~;d5cInBkcS$~EaLCBsR-iDZlLRx83a(Xh6>MRUNu z#|Z>6Id7?eVEgova9}>neoWe4mQaaA7jJnZ3;SMRaSsL?b&iYV|JT_D`27dE8Z~`* zs_SGI{qFy+(Fc;vc#$JjLoU_ix{XB%t9r6j7it6#!m1AxRSdI_mU4Hu-KevhtQ02A z`^{GjZ<yJRvv{d*BXna5Jf+B6s5oiIo!1w&-aGH8YhJI#q6`(0hMV_Sm~6-8Q>y}N z`@CH0rR2T6{@=P$3+%z&_~>^!hTdvTE<cetf_PKJ@-SeIg>qchkh4pZ)ov2UTkEy{ zi&+8F+2npRa?(7u(s6>1-baIPy7`a%x74@RFBWUaRiJrzaO4~~y<H<eRg?xHj1>RN z<guk5xA^u~IG1gAwJ(b?|BrBVOGd!-Vu;57$dVlpzwcTv<`}7coL&wxePeiFH>S?r zCAA_O@&TUoe_Z@;aYFbWv_yQ{Eys?)@g=uKde4lLJFGthHx8_B^K)-HZA<?j0|~#h zI_EhywKFMUzJL3dZxZR?zx?+dLHy>BU+^2QbF(Y?^&04J8+jN$wEK{3EZ_l_`o9LG zfJySNNpHCUZ#!0_^fKLtQ9p$iX%m~&w-n5BvrgBsv1#o+-%16idGm&QW?jEZ8Lkbb zH9sxoJ>;y7L^E1<l)(Cvj)*v{FM}U$bbhrUl_9(qdPLn-ISM+K7Y}3>yWveNI=oy1 zQe{VM9CGFwYG3}BqPiFgBS39~&xHqQO1-753ShQgq~9h-98v!u|0cr3a$|_Ul__EL z`9mcoOQZgwU%=boy8bsf^0zH*h|#*q-%K+e*n!X;7&L0!JcO}8HQ=?YmY{S{{O-9h zb2%yG9n2|u>*fT{uNFwX;c?qVSW1m7bFM`>A`Es?y_h(^-nKb2cMsyNwJ!q}FBIG{ zch*;ZQ`h?Z205lu8f4dn*8{E`tFfY(mJR$_ve<NN_oW!GBKF|n<KO?CDj!H{QQ$^j z&5thJ^YU-npwE8GwS#90-g#RL==`KA@yVn3VpV4-O>Dbu;Yyf&d|z7P*%30~QdPF$ zNdS{b7}Kn(yb-Tj+4f}<pM@0dqT|fDvN=9XNELT=N`)&#b32O%#06MBTz0DB$HK1h z7?u?u7CD40Jz=FxU`;IaZ0&AewPeo8&a<i*mss6v6+>Jd>uv{|cBd<Gnp_2vYpgAO z8V-*>t-7+&u(jK!%giv?>iV#%4??C-0f`)Av*R$0dIzo^Lo+Z=yA605OKlp3se&^1 zng48Xd4SSR+~L*s5VkDRzTi}+Oy$MkWmXZQ5)=<CIq*0A%(HJh%5VC;J~a3eOEgak zw%qS`=Tn<<=g97HPau(Bkb_T9d`WP~8bYUhrO0V2$zGOY(bsKlKC#kD+%@b1B+|}& zeL>NnA5~2GmvZW|OfL?91{>nA;w`tGmoz>vjWniU%?;R>MVsr}cMcvq*dLV<DmxxO z9BFA01Rf_CG|INmkM@P}Z8PJMCdH7r9DcqfZM1DRZCqyVCv)>piT=G{PqgMvIkwMX zXtB)>?R4Ar=1z5kHwSOE-^@}1R^mk#Cr0OGC;h8YQEO6>hXPB@A#f{ffh+d#x&^qI zde{nv1|#2DJ!s7NZ9XgbXJijwFHmzwue@P2o?1CN*FFw$r`3@!kztfctnA5P921P> z3bGCB5XYBBm$Wm4VO=ipCp{dKALgmnXxKglmgyX_e2le{PEhTzC<$@|>i=3DD%Lyk zc%O&5Ir45_b!llB8t2)$$RbDLK4OGZdz9(OZ&TQb<p<(L1gS`R^st+$;m%{#VmyC# zJcUXv3MwTFG7Dsms}~V!WSmB=Iv8sAYh+kWff<YT<{F>m|GWoFq_mbWEpWx2EiY7{ ze9*lAc2Xk-X5r_v5X{#D@XgJkT@fpfVV6$JSdk!KT3go4v{qg=T2bcnVBNnSghm3> zK4WV}mcefxn+Ekjt@1)EN~;pNEA8)QU4e>mLKr1>1|hC0yvHfirUdfhbebtWIw-Oj zio<o1RD56E6TfoHJ0<uRjBGJGaqQ1uTb`Ot#8-M;?i<~sXy>#5;cJNlObZZ;GSyqL zC2d)3R@n<wuv}TctW9e3bIIDzd%nyGTX8}U{{j^Hr5n2X91><v-*5A~?b#~N<&6rh z%6f2uIdKgP9q08OtrV);Y%@(EJF?03zP;Ta`(LeVxFpvJM|<G)J3WArxaj@fTUtg? z9!N~URJtxcFl7Sh!!jf1XW_+X*PFITNs&6tWqF90Zy9Lk?Leu_cj?xFNA;Ik+nV2U zeaoKT`M_p>(%y7ZG(MV3Fd<)N!D}CNO8j%pQ=v>FhE<lJte;JglGY!6{55&qQKs&- ztRiG&dub&_jWaBIr(_C!ETMw^IAsGmbxrNl_7O!!Q7aelQ)2zp@B@@EEVZlrlBuS8 zpZDXY-)raGr~PY)<!2d&<nl!q##Z)5h9bUxE?KPAobfSmB=*QkfZs@mZ|ldoV1+SW zVd?S0$nvlE^>qZR6}fPWGMh?68s6ETlj)ClbbLKpQc|OtI4fi;z%(iLLNNyMKjCPN zH6jUTu3V~s>?`+?G|p)gu9&`F2R`}OH?;0iF(Ibn1{YmAOi5U-p$NYL_jq8}-7{7D z=xJj1NN3hI5nNiSQ=I_n=w!$i1!rYqa;00l)Q%5<QB3tKhSi0~(Jc?i<`ht_=b5qF z?w)UHGb25n?)`}!wHmaJRTx^<7nA9kF9{l0z|6qINpdb_o9WsrO_YVIY^|-XH3TCA zB%5NSmE6Q$$=>1%iOsUnO8&3kf}g`jL+26|-NpzeYjv)HbhJ-xf=#1JP4((bD+a2x zNwLS41_b}ad0#kI5U~&6!v8U+QU=%bjLHl>IV(*5@ATJqBQTxp&w_lr!rYok_kf*T z6t0nRW=r$bex)$}zTVyhdDL@;r8c>%WR2GKOABrHk(3zfHzGoU?afP<7X4ry7l9?s zk!Jrn9`2>Dr><OQw@#9j=pWjm>iy$KJY|bI6&>E}g*$`OWbtqDYxK0+zc^!VGD(cr ztG>Vg|3sX(i|hYh9e{uq{AihjH?%8*T!(ipAQftih+C}7c<qwlB(5gJa2S|S=f}A_ z$o46Lf=F=<E43y89`w+Nyepo26<h4sZ8-i`Y$I2>#8L2^;q^e3ljPbmy(;ubd*qg$ zuj;rYNsqV7&yl|v+Lh<X2n)VUDQMu+Z^VIc;mESGVM*|J19bSr!^OEK`*Jsp^STfD zim8XNP%(0IC1MpMw$W6y4ZY0nQ6`#eC%s8FVNJQ0eOUZ>n~Zjsc%94y9cWM5?$z8? z$A|+-?pwex1HM)6q~)b0`w=^5xD&(c_yo6Zr;F>YIdrgLB~g!&!qCm%XRM7bvrDPC zNqg#Ol@Y5QxD#&CbAC*PcnE_%g$k>Ze&RD)_-;m+TpgNqI(YQ|CX>G9RHtz8>fE!d zu*dBx!&}pew`B4#(+yfnstmdA|6|o-HtT`X^(h(qu6$>1H)BrLzKCOFJtp{BZ(x3G z<{q`4X+*L`CnONMPTW)PvD6qJ-#qnJ+(9s?#IZCAh!VSpAaEs|S~f<vhfqZkhA>%k zX+yHn=xQ6V*DU*%LLLHl5?}9sNm1BE;jd(I;cz!@GiWL7kAUwZF>xWR{<=ciYkmRX zK`ebzV?&{3?k+!{>@tMM-KG?o(?2_}*5{y2a{6liDL1E$v$mrt8SM<IANTnb5FKPV z3#1>w!exE}140N-__r^{mu!6#!ptrn*9uV^n+^`;nK_~#VCvan7sI1Xvf*Pl5CS@Q zj;*gmcq$hzGf&=HSf55Ic0(yGh=~xDvwm|uzwFO;t=&PxW)s@s`^V$Ys><Dzvdj5O zy3bC_^@$u&4U4NF*%8w6wWD5*cakj2N9jNn`KKX0lbCPvpOD8&c#-qjzwtxOuZIhM za?}oe9Z)!iXM}N2HW(YB{6!(g_=(4UgSaLRzB}U`3L~3BT*?|*jF?D#0M7+%*yBTt zXuhDc?4=_HY(`yQ^5E!wW-apvU%*BTDaSRm6MoMFGe;g1UUIjpzS;SNO5|f~+1_se z?;6j5b7Hwapub7NK6OMMgK@E~GxD9Y8tPD_hh9f$+jPFA?MHOUEh4YL(1L$b2pt-m zQ_lwgX5YmO15+h(LLffY&n?sr^R%f`IHq9)k#pTD*+LgiOSv`{I=L|n<z-XCNO;D+ zA|ul8R$m(wbwXLJL{=%xu1ir_lZQV5x_W1=#W<r3*uO*Ri?|pS8qcXSum^cdcg%LZ zI)_HZsByhM1-BmB5SvL{M`9{0ofk0#jU$tcnO(=qFXKq{mWIk~1u1FtPGVjZZ{nL5 zr_^?Q(a{d90Gmi8iQ-3zlM=~1D6+LyPZpn7(fjba7H+YL3Dh$Q-GpE&hk`x=g(EX8 zzZkmVdpCkV$9)V86P!Qj<G}5ub%f*XCFL^fj0A@WvApAQJ~0|%>6WlrLz%~lbKjEJ zgD)_Z(f+xDK6I$pfHRJ03=y#?^Bz#3$@^;<zN(gHwko=t$d6dbFJq;I7d-uY<{jf0 zjMP*j!B?%zG8QMEdY3u$`^dnL05TlYdsy1;pcO6_P@;kI1#aYR?eTzU$hS$d$uz`W ztYPH#&O1C`Io++rgjO2@AK^gnWiBGhsULeVX~VaOtX;YSNU9rRA(W}y1{gf6MmmEy zX>1k#4{Xq>ww#aT%_Hd|MCjk3Rq&?h2Uzf2#5P8sk9lUV>*FgW(*`#CfE>wa%NWt2 zgqrK+IU;9)yQ4{i=NU7U9%9!vlnWfE%>;DPe<G=uca!LaHgRnwUPhwd&Pl(&_K_|B z!1I`~Q)kCD@2z@2gU5PXWFc%!yaB^NPn_qqR<`d5cH8Oa``NomV-Ab+xe-}F>JKXz z5!xC2_fMa*5HzFRMwP5=0Vq+#5uI!!EEcrU8}<19BP2k9hwBInD_?P>2(4MPwvMez zm90U&-)>c#?KFf;T?`I_=|h6JK`DlEE_%1Os6$hI497yj4X@x0dq$1?w)ohp+3z}P z!;|}dj!1G~<?wWDe`tb_6SxGv&YG3u%oD9z&J%gwr)k}(5EV-Y15b>opkp@o6Bk@l z1o)3<Sp{ExC|UGGHVSQ!+VJ#-;5Ya3iA}M|zlaFGfzRCj6wo0wkNh+IeY!ZaI-#QC zi{=d&f!nZK={-bRdV3f-?h2v!SIMQ*3M$8;9{c8yk*mbqK_6W6qxwm|#3w<*%B{UD z-IuEZ-)d&zDw2F>E5NTGA$4%a1mW@_u)2;bWx#R?NyPwR>|Iy4BAw1>0V5GQcg4R$ zf9&;saDC?wLs76MK5oi2KSlByEc!TL)X)6~-S5p~8~Tj@zW6lUE2Np)6ukc!v;UAT zzyNn@RVlTF6#0V=t$j>>(S+|`dhp7ZyMX1z`9NwPTgfK}GgF}VXBIBk&t7teV}t(u zoxz+*`@cAmPHX-c0g*PmIPt^n(q9yuDdb!0$3J%mr%1oTc1p+KGO2vIiKKx%{1!Ql zH-*Hl?4Nk7!s7XAjIdkN@Fl756_oePNWShdt)86Uw(9rOn@{f$kohpFXg7^f8NkVj zp^(WMIhF389w!5nG(vQOQYbe<7<fn58Ud!uA*i<ODQGTPk&Ul#yy=)KM0z9Zn4X&N zh*xS%*!$eJ$%=IX+h8U#aZ=j_e#@I%xX7-FR*&OJe$sMZA48>R{D{2rTjP7beL~u& zD$eePfF@2?bT#BKHKGTy1y19C$=J+1ujLy{{&&(n=N^8*VRhhGo4D@s4v+G>!0Ye# zCFe2v+!MK5S^WNrbmwgdRl9w#>Fn7^)tyHd%$B()*Aq_%TX$o^_qT!j-9B3}hPu{B zpyz|fCw=0BRx6+D660Z1Wr00{7o<Dp9_S)>j`B`kNT3hb2zBLb-*1W8c~Di#2k%fz zvzd~`oj`oRXqAia`j7IO|G#+#!BkH!;?a8zj`4ky#QemzZLQJ0YKr~k*}(kBALAYE zXM)$GNLh+u8-lMpq8s`=PeRv2ce#&sHAc1eLuc;Xw}b&MFORR5CV!Vs{yzG1+Gh2i zXFdj;_iLMvUbMgOT(!YYlGD0E&TB|)N37fMbWd6bBs@?=!cUBO@24E0M7&~uLuY?f zaEQA%)rO{>t{@_kMvwZ5`5CUB6d3A9pg*s#vx^rwG|zSsPmmTANUw7=R4U39sg@F} zG>6_sdw5biOs@%k!X#w8ZYn~qiJ0VSP9!>1&cQoPKSYQcKiQxz4^S@)RJ1BI<ZPBL z8>A`#3JShLy6BGV-!M=_9Pt;skISb2Alq?7k0YOLciZnNO5-g_xVrAhlm%>P^2OJV zKu;g<`YG^D5`SqLsmXrOHchy>4e8W;f_5Awov`=2qiz(at^3k=A&pMsw{efbI}Iq9 zpvj)MNhIdUf1(B8h(${>_k1qL`8vYZ9KJ9Ctip;CrWe=Z0mhg{;IoV<XZCcE3oOa1 zZ+F;9Gm6L4%6udjG~~mQ^>OB~K^TW?%b(qii263mySBC#=*H0+aS^df4mbueQNTgW z9pdKJa=YO({(N%wkF)g$TBAN{;^FySDf1_{tc8z_&%U>7zSMW<r%3xIU#s5Yov=Ow zOP8HNOph$@Otq4iH@u&`tnZNddZq)u%NN}&>STyS;YiCTnjh9>OBY;}oqGtaoUtWM zj?^+$%;55maPg`I$NuxeA>(TXPX1liO-(D<e(p!y0~IBjn^ViLZKc8PouxmUQxAD? zC1H&4hpaXW+k?-uMfuA@;yL!}Ak7#+fRe1D2lVFqsl{imUf<35cn&I*WDl{#?8A8N z(}u?;czy2T=hN?2J|{ZH$qVs`4vB+rPpymunFPm&xcHLT+^xnAt~Wcw!?KMe{M^9` zjfvxl<op9hnzD@)X>*?&{+zf|J{+;vT~8hHj1{Yn?KhC@2>OOtaSt1@Qknv}e2f7w z1W|~(|H$qLNngE6PW0ilvxrX33$FsCI#CB#%!j{t6Sw?px3ZQ!4was}BGbxeChkC; zo9L>*TXDdf^V`=EUGywnM7-;AUhNpKo00l%EiS;{iT33Y6T$?gM^(myQ`8-2k;OTz zO&1He7zvQM+m1y&XEgytcP@a;WxYvKI@j<Gd1lxqjo#toBcCa;m(ZId9#Vj_oi8gp z)|?{2jtk{$?DF?q;zl~)@<SRq^)Gf(De6hh_gS<mA+(5^2a&66rj`?U`IQVDJ2pxl zgAy=|fLk3;OiJW=)q6thg1&E|Urxm>vz9A2zDLEcICRo=AK|G>?lAz(pAEVMPw33V zz<*vdpb<<0-fXw!Y=kJx@f{Jam87F|h1|XElec~t29VlPOPdPvL!L)>-dZ#1a_j?h z%d=Z=JdcoL4E^x6!Y&BP#1%I#(#XL@d12a(XgcS^?^uIAijY`Ue?7SMO|>tu0h}@5 zPoF5>Yu^{v&Zi-uSdaqO^+5>;K8nM&oecuo65vrWG6mfD3kGGyTDd&gm(ABG>85zI z(FhE4x_X=BJTjJ;Tm1|fb4(cwf$fy{NMyff0$Fj{&9fZ)uFYBCHv_d`8NzoqcD!?8 z-S$N8>ICL`q4ccCLh4BF8C17M&Ak*uFp@|;>Nw{TpT@MQV%7ix8zu?%NeX@T{9g^b z%H~n=Hr$~VUSB)?SZ&%CR&f|dSu;rB_#m(aGj3*>1<0ic3~rb=!{GsfXml(l=`HMI zt0abqYvi~L#_|6~`~4PvojXeyg}fPHyiCL4WgWjgQ=4d27taUnP-2F|+-Imez0->j zSozkD;BGgBM-~F8awL_RPf1=TKiH-1lsDu_hf&oQR!I8}zu<Gy3AVnWsZLDw7XSK? zy4}Er%ybe5i4wnmw*tYYvG?sH65`AIk!Itl!#aX8gDte@Zz!_hP!Sn8Gpqv{9U?ak zR3^rVtCIeC^eNwdz>5LdY%(UnrS})ZJY3M;{7+6>ROfSGz!zAuSv9c;q&(}S#eXYz z-x6XXp~dmUNRM;BtGP>>Hr#-^@EThYL7<#)iykHkS6S_)__@*)w;rcTa8br)P&4my znWCuHXNzwtqs`GqE-37^te5zu=r#6_%u#1}Rl_s#-GPzDcSt;5ZZTf(E6PeG^D7Un zPREK$bpn!sptw44@O4qz2aM~QHGHTM>VoT&JbbE{8HA~?GjF`#!(QL9LT)1atC2V_ z12}7Ue)C;#v{a;^R|WR#W0JhNxFGb)xUB-IK&%==uU;;BBhII>5%#e<&XMGfw>kjN zWOU9~11390ZtOn}(eT*3%oDS(o?$WqCtw31tVp9ko-7I7Q}E}X!!|{jxlG3<Y^(1% zdL@;=Nm=@>FO$#=f0t>8gn2vXiNEg;LmYRQH+DbtL+rEV8*nlHy2)p1uT_z7?t7g< zsi}{;Y;h`j2!EohA@$Rmv}Gd@4~^zeszF$u?ok#ai7X;@ocK1Zh{-|VXpv0>QQ+Tn zBx<-;KoGUTM!5m>S@+^B=<XzeYS~U8G5wqOW)8cge1zUdsqaht%YszsdMvk&dRVW@ z3*=QO`%Ij~Xm;!_j*3QP6{z;?CvD$V9VhYVp1u;e^oc_2zOMf<zkdAnVbH(QaE{~; zjIe0CHir{EA(w}u^TNJ73yZdD=+m`TpouIH1ANEcr28<y?J^xo?6Ul^IJ>XH!f8n@ z#71Zs>xb@$_&bE9xA3PO=v3n)>k)W{twAm003!w2_)C9B7_*FtcEr1=!Tf*ZL^|s* zNp)|iA60+1YaxW+gZ!c=WNz#-JXkJlHaUI}JfeCSqp<8Ex6s`-2<g&)EaO08)uIe$ zIRZNI6~HudxY2@60txiQ#N|^@G#|3forgvV<~f;8EZ_aB78`CQvV;j{8g;(@{Md)R ziCzl_k0;%ihL)Z#B3f?!?X#@2KAMkY{AQX?y1gW;W#~G0QrE{=rSC_GX<;>=br@UO zMu!BNe;g?!S`6#+{F?Y$X~{v3O!w;%wl(QZ{$N>FW<xP%e23=I>-H_ML~oI5M^5Cr zbAf$Xh!xK3={F>edtI+E@J}OD6hv>cc7}<*be<^#r;YnVT8oRxCr<>|=7tP_8<U_- z8}SU@0v&igF(|h(@I3J{A^&Bv71Kz~egAdP9b@|AY3Ro<Hi|J;@>`sXSupdg{{oT# zUJ9d(cYndK{3-tjc0h^0T2>S{i<gK7d#elf2S7iotZcKy)2gK<V+<9Sc2^RFAuRO4 zkm&6cR33pm*GmA+2ZIm(z~l44ZhNYt_(*BEU;(*ZU?3RtmqyT-(kR9Xc>hb)3^oar zas{w_F(y=UemThXTOO@(=X3i^Plk*Jk-9WbhkvC_z#4|V&?a<?7`=R3g5RP+foB8` z9_Gm~GH@xK!PYr=EhvIafZW*A9x#$6$#~raBy*-NX^qIf->V-nUmqRA8mm7z7IOT^ z?qj|4(C}@3(2F)Ra{n;K_@$t0($#c_R$ZC~@L9VZI6e;6a$US)N1x@*^P|4!c*As6 zMt?y8Q6YVQ0fW*<^Q;cz@+SD)A;)_jqq>Ybp7!o_^|rt)ngUpWZW~CB8cSb++&E$b zN+(9(BtR)i#4@3{<y4mYDs#p=x83ii-FNy=+?e%UCwCSeBS#!_T@J%CrSYMZ-4w7f zFdVoAJ|#Af4oeK-xCWDeaZV08c1+40;(w<ZfZ@IiX)TdL2#+<>{__Z5LDT9z1GAtR z$1|X`1vqbpQ*QvEE=O(<YdQ4hW7SmT0jS1#i1<0LdCM%Qi#BI3%J~at{_>?yf8=Mk z-FV&aef!d<cb}Qtxpe)#-~Yzazj)x}Y}X$jIPZ*aT)LyLE0alYy1%RIejKdm9Dkml z&mE*gAN79G{rmd|&iE?C!Y0Nsn|VKD54RJflQCb-ny(!i@V=VvqHFf<e%|}!?9YAb z|G4=hA9`W2SjaoYnz|L`bG@$E1legMM{L4&X&Id1REuibCSc670z+V%xUk8GFMaw~ z&%Wfw?Z12D+dg%{mARcSzU|&yQ-3eq@XVDj+&jDJ+&@lT`l-IIJ{+l7$aWp%UhKfU z|Kx&yXa0c;=l`hhvGlW%O%97q0@%brBN^vwPWJovpL_rFo4PWC)4QK{?!4m0mu{H7 z{mn0Y8aBy$cSiX*_1#_`M_URbBlK|=xD&g8M!UcJT{`mH-Q73P5$tip+JAT|?JM!x zY=-?G6o+^9FqDqk{c;kB-OBWkk5aP6d1v>|?jNwM(&!0}9gA^_doi17F^r6LKZKDZ zWo?4>^kti1{V()8Q`#4<_1$mJ8ZPU+S(_Xy+oW{bCQVuI;)}b-*d}AtT^j8!L2cYl zux+;#*(6#LUu~1)+?HzVdw+zBTsn4~Gs5iz!Xa1ovDC(EDz~pirk!`SI#=ks&^MJz z*nCagcU5d+`>sjeRV`4e>bsh?NovDwqOc#Vk)E)<hW9%*q&JG!81Mf~Elv8faQ|B^ zHNsTqWn8|FMiX%RF>zoQKc5p_3A{h#O~CDEH{_#n*{?|9zD1w+Eq~7WUUm=5MYLYf z=kP9NkFxt5J>Iv-VZ?tce(#z9{lRPz@rt?6XD72Y&%RMU*X!PF_b={gB<D7}-?1UR zQM|_ZGh{rD({JXNO7gHB$JY8eFW75Y&I_I-Bpy}^^>h5&=%9R~0{A%(;eZxC&h9Og zVLrM|&)vo@??)W=27mY5^4!52c3}TvB<D75Zoum?=zQ+2!`Raq@$tS#k)zFZo<GR@ z89#J>XQsR_l4YLS(0Na*@}K(sNet3G-Ko^%B;1+9GrwW5=Lh{4Z6Ev<j1wa?GJ|gx z@K+_UlLsB#jEwbzS^lmB_FZ*zpOzkp@?-Zi_H;(!6!vRj?|(cV7=z&Ai?6=ACp9yg z9!aNU3@VQ`5%;O=^6RA5!0-S5QP^ar`?H^&oGk6{?w0L{5Is6m+Ww~fnCbo~H9B*Y zZNfH#O-i9n_VITmz|}q4eH6Q}qTGZw!F<8Ov7Y0d9Ov;;5U`ZvscuS-oqQeIWXDIM zGOyR2!Pg?#CV$sn3!B_tZIhEHkCl#L9*ns#?M3(0jb<V9CECA_zbk<`8lGlK*g+cQ zV}|Exbi5oshP|ekXTc`VJR>$a)(!5HFTW1k$wiS4*6UiEe4P8P?~~6xlzlX`eOEf2 z8a?`>8R@yoHjyOvXZgDl{GExJ(&%WqYy;`w=y;{?I)B~+o4}6K&pac2*Rh$=lOyR< z#wJ;f;x^SQ_5N(LwrEyncHE|&y#kqV@YOmv46c?81@43l@zK7<1KDZG>9ei|6VZX} z4Z`Sz=|yf&h>!L+23dSy3UBZuIU-ynyhd-2s%A5G_EXJrHY*c+qI8_E$fEDchhew^ zc{MApm4D~xM;AHRq4SDe4ztIfuypsoV!tBZteJ@rPtpEG#6voKt|QpV{W-peph=6b z;zpE4uH~n4ygGcAC1Ol4EQ73&+)9)RvVw~xy)_j>%dbm`a3Y`*p{4_K&}jm^C{%@y z(2*OJB61@<F~US46?s<a+IWp)N0i8=mP2jRwts1DeyQ1{G#I0b*KC~nd^I|*&lblf zYEGgo8f=^5tl~GZd(3_{e5*xRxvP!UfWMI*Qf>d<N`nlo0$pxbVI28&8FRM^NM~6I zuGN=FC`ur5MW|Y<=+(r_{_<+LiPn^<Mv)rfYqPXajVh~8gJPEeRI{uQwz`(PT7k8e zY=3G|z*ua3THOfMNSmiLKRh=D8eDv>1{5<-CSxc}m$+WX>$VXVC3n7=*afe`6$f7B z#SPz4xCz9CMY>^v5$ejfqOkZOf8AIX8IqD;sactyP;qdDU?NZ4wMf2i`;$06p{N9b z1F8lSB6Qq!wAMYm7Ax%b9S5e=9KiA*jenY;`9u_U@juRY3tr?YuOf0;gbvY~JragM zUd4yakS<e|$CTFsluJ;_j1IkS1tq132W$M>-dBUFhtTzq^>BEL3$(%Aw!`V7hW@9K zrwLRQ?eTH~$K^#u2$M%Wj;y|he)F1j4HTkl+yW}-X~ZE8I715euyGkJD^>?sihmLG zl-%2Pvqh;VUN%|?!PFyH;fAWh4T7D2)qqe3t<j8h4ZbGB=tl%sRx$L-pm|wp;6dpM zn|T!8;Dc|Ndc9c?C-L6curZ@f4Y7HbFrw-lI1v+D@rq(IyPDtkl?zD$4H+DE1BTZP zIKNP*D6E3zVMQGns23kMhfb%(LVpX^P9DTcT(O$#`FS9~8%y!su!1r}1_X_Z2ygzm z)wwK^3LKxgJy&}`OfbjBfLl(6eYzY<dMaE={3=4*CYEJ3F={!rnwDXiyoeW!@VipU z8E1q5MZ$v>U3TRvIw0s))0B4HyU6(uMJRn<iMnwtDS#UROL1M_6cECyV}D-g1$zO_ zTfhJw7VR%GU(BYAUp1^Y{zN$iC-mturs9i=UM5)&%Cao<|8*VmYI9g4BC;B9bwC}D z@(oLoe15>g(#J`OC8Is)*r@_e2F-`1ARc>dqw>5W(>%skz<$U8VUCudRCt>7McHkV zohwKPiFB7yTO~#ma~XHn9e>LZD<o6#iV_leDbs`t_1Fv&A2X^zP{=4;)O4=-WER~5 zD!yA7<X#BXTe&m`kMV$qYvBsSF|+u5$1IL^as>~m;KJHTgLSIn=g!PCXpIczx5pA{ z^{t-Gbe%-%;p(uhggOf=J)4Az&Jq!-Gwed!hBfZE?jjVY;pJa`1AlZ<1rJLJ3~LjB zEp^30VQ$>};+*!UQQeJ9Ar!{_*|6q&%xBw=`k0nSok>`c)LpJSh_DG30|lG6*CfaS zL%jS-F!gS6u4+2gMK=STf4wZ1iBmOsq~nOlZ^R=CRJPmkpee~6TgRA1FwC<RxK=w* zvPTO6c4-Au$R<9OVt<@P<C}?s!a#v&#u!#XkuB@epZE*CZWh1lyO{if;1oMF`Dt3D zR4?*lI+q~$^#t3WSVd_J3g58!SSin<&?4SVlgi&FI~C3c&gF_eBE()_!z8>%3;#pD zy2_=DJQBO|AjrJXxuG7?kmlmY7qELdeBJ;XBe57<D|gc7pnu?;k4{h9ng>gZcuxN{ z45rDv_5690jMUf~kpyl8P`IWE=ySX`jlRfr`aOlM)S|MbVR9bC8YNHoly9z}oSEK( zmN9OlA`Ld6OL`D2maVMpTerBr<8w<jOvH!}L%Pla3-juaZ%lg4fNnY`XV{WA#m`dt zY6zlP*-#3WUVqJs@(NEBr*RS%jcnzoK22X1CBk%2_N+JkY0SzdeI*Z!R_>hkH2Wem zp^=54v6;eE9MI(I0VnuZkU!7iQv`H#`P}}&=J+hv#0t#(U@=GUgc|#Cf-jyP)Er?7 zMFa59O`K2nC|CkxGX9;%1{~k#rCwLq30k-lo>%DY27g0j5^Dv1rg1nTImp8VjN%lA zhDl-Gj0Jr_zn)<0zi4@Bc;D4k&(c&j_wR7v9HFaW{dPW>qh2*h{#?-%Fo@4#lRPKQ zW=vtx76;g13~=#1uQ05Qfec&>9-~9RXyfB80cHhJyohp&yBWK-y@^tom3d$Qs1N7L z4R2wu8h>X+&!g+7Vf15JOo0d00P~>AV^_%t86MCrRP>q!f?Gur?ED#HzKY`&cI)+W z0<V2ATsxbBO`mOw4NS($gi{}IA|?~u;gQ*!Ctcdz6I|d07i|gf#SV25@Ilvqz|j~0 zoar~f-4M>7+iMQx2M*xND{KN*t#Roh60Wk1G=G{MreK+oG~^F%(n~DEq?}6vD$+Lk zX0C6?hCH5dvg-rWWc1$ndXMGfKxBSd4mXzp-F(HnfAE7Bp3!x8a5K4X#}2>H@195J zcbLh-Tl>lXY4R|+@-MjJ$gpwGrOrJFJJtf2t`X@2*-g{jmwEW09pewdPzH&U%io8@ z@qd{dDi)@)PxN1j4U(ry-zC{bDOQI5pIn*8au`6~DyMD~&&{$;+#mhZt*^ZI_S<hi z`=Lw!^^QySops}-|L*OVp7+l#{qTLSeBshRUHs>NP&_pM@WNw{f9vU|f9KnuJ^qLP z@<7*_SGrg3MLWSO0GDn(aPOuocVC$aZGV!QJ%sZd?>Lb8UibVbdoDP0@1OBDN^|F( zw{Lsmx2`<<y6^1RwF~be%FU{7-d+pH=55mX&1Un9$_ZtVX~ZAd<gtHx>6KsJ|M=ML zPd)uo@1@<>|LB`vdFv(T(FMQxslWgBul>~ZU-?Bm0}cek7yR<mzxT~+{_jH%?SH@T z-W1M~O_gnOU)KX@C)eU*QPNJv4;_N7ikXYj*$#cq<=4Kzg)?{F@sYEie(3tKj&HL~ zPKD=_MtZBo2RGH?)`{`3g7>V^?6vz|Jc2!orO~75G}h4f(B+E@=}Si6d->%fBRxGc zGZ&UPoh(N5XTtrBFQ2d}p9o!hj(<(+<rL;+SmlkKi$V|A&|?KS))Q~1bm?V_w~=25 z_bq?l>Oz!$IC89X*65K`_Y!o46~0HYjS+D4Zh70%9ob}eiO;IJ;h$iWFbk1QqBDge zn;bhP43XwTo50Sn<@TA^pG{K5mfPfeun88vW0k$wWabEOYJ`3-r!in)lYg<Xr=G%r zjU~KA6q`IAW+7<{Ink6U95><G_JVy6Y?D&@11BPD3EQcE>;3DNm!S(fgH87B!zr<Z z-O@)MfBeW1^gNd@K9s(MW|B7HpW2@Zvk<kDmtVGsr|eqhP~)|I7w?7Ge&p1#iR=k% zLfx4UH^C$<lTGfWyeT|KaesWy*LZH^xFh`ZfyYEV3kGlp#ASJ)_2DTV5132L#}AtP zR3C-|-h)Tu#oUA0N&kxx-tJ%YzofSEIgWqsVSl6WG4E>`ZpL22=JMBbUUPide^|AD zu~EERu>TNBcW==~_Nm@Hl7~(F+<Ry#H?@g|-a`wyDUXJDzvHm%hkrET3N~YHf;RzH zw(N@}$icov*S#sk^S;LOJ6-Ha&BG?S+$|%PuTg$ZJ-qWktMWWH_MIQIte&s-Tu|Eg zUYh&3JlE6D|NI9(NJo2)bCZdmnT#z!Gdp*V?OP^&+iUTd9**n6TNTExu&{SqM$#!o z+PRMJ)h7#j?AUi-VSfxZXdQt~O8aF;ajA6Kci;SDw#kX-lQv1cJT}%jHhOhZN+Q&h zO=SL%h<3^c{-{+Y7#q9&2P}(iGP)JZkZ1fK^c;6+^XAJgqoe$cfd>ltx`(az7_(=3 zj*m<?PCpgRftPJ3rPAO1U1J%iB4x*Kw<_1ijy>~3mSx)sK7YA^f1=NpoX<LcNJozy zJ8|MCsC!rN-LNMrrC#pr#CJKCX(#`y+N4z$IW4DU8t^;*W6NZn`hxT-i?CY$CdH@U zR>Qc~l#EESckwp9d5uXGO7yDND{~9RFh@kIaJRyzn-QX#VOkf@&Q<*$zkE`xsP-r; ze4G^Fa#Qhy<bQFdY`Ep~XsJ;yBe$72E#r~wHkRgU|Nm`FT_we)wL*%`%UmccjGuEB zIHKX3K$#XHR_Ef4f<WZ1CU(mT*eKBj|GzgPy|(ZM*;&wh8^;ZAU#vP8U@ZPge}N7$ z`c(zhs?<C1o^X|5;{5Rdt0}B`K-a6v_z{=!AxYvY6@R`ILu3Xl>lU|svu^`zP)NSW zDAQj>kBOz-eDjINiV3KAdv;a)q0iJ{mPd#g<lE)KhoE4}bvX)0Qt%ow)U)Sd<uHOF zl=nnPn9=yIGh2y)%k0S!j__z)WvFNTGVwL(G0W#_^VIV6)lgA^L*QL+W#Bd1wia2v z3gJ47;eY+4yrMhQd9jC-5_%A*$Z(()1sB~Ec|%wa871N2Yu{E{#Ly16Qi66!Psr?O zdM!~Rngnz;iV-S_#2aBo=%}&q5<3B1;T#7-L#<rw0B9`?hVP0o!1JCi0p-lX#!AK$ zr5)h7Kh*7RE5@e2&U;jgA~8DhB;EdRCBy3vA%9_QrHC%!wF(2?SUlf>V^cT^w`x#~ zV0AN8n#iJr>)qOIktj{uR%}Q`$f&ed;niv{bFISDF|rEgGHX0@78$BVA*A>ZDh;#8 z{g_oS`+yX@#YkKcCKR*)HYhXrVnCaYMDU04lceGZ!b(Vj!<H|?F}bZ!TkuM9%h4>C z6n~@=A+lx+Bi_Ev)tKhP8mzcNbFi{RX^Lk3S@t87oX?QX8FJ{SnaAOk-V9dD<M^FW zBP4~wIIiHg7#Idm@j&pHgDBjO1!>#MMJ#ZY#%+T^wJ*Ib?_9NPT|WkNz645W1s=T1 z)^MF!>5LTC+WWu*9Bun9d=!n1#g+m;R)5&D3-A?~MOxUpltPf(1#c%Z_$8BJ0Aa&X z@ZV<Oa!IABNk`XU)`@V2)*_&O=ITk}Q!*Mrpe-R31_yl?zBwUnh8!j`sVXfPQ!^1p zw-kr@g_~ov3CxOer3!J&Zvs}3*70x?GMZq)(zy`IzA$?}4WceHgmY#2D#V~-1Sv>a z#Xfk^kNL(WQc^A@y&JHGskq^@5z&CcJ5^k?qbLDvFAB#P<~<FUfn^3ImyKlx2!G9M zAgjUaWd1CQA#dHBjIu7jxnp`nU5nfCrM1MW7suw++gjy*t{fgmEXRw&pUYmMZTL!g zeIK|80uE<|kAm#a0D)iYvsZSagwZ)C5}!-_ET4Y@vYdzFIQSaU2En6>6G}}*$(<t} z*ALy!$c^Z$cl}>leVqC+kXHlBSAXC#gl_7noL6GQKFV^`(%EH3xQly$_bJao{H_x6 z8_<Dw?|F1_Qs_3G+xh5X$QR*<5!o0<HUiy<&;Y+We#1W&#K4FiVhCE2fDTdoV?zWU zJn^vL&rS)J{hDt8E%(Z;CQisv%~y`0KvCt;GM@TOZdD8p4_Dzh$X^0ca(^L1TGiob z4=QYtTuKHd_g3D{Zu+}KO{ZFwNr#}aBqYON0NA^Dt3td+MU8OXVcoM+<_&))X54aM zmLsm3ro$L*>n(S^ebsqdF#>0rFAHwXPlXXBWC8<#Td@W9xv?<Q(h*U9c67s-o(|)o zbAxwdAu>ti#!^=?{~Ek!-ha1-NE;i)RFYE_Z`sEttQfS6-Y}1=tCFe?SCLl^Zh3xX zp2i%CNqpl=K%rHj*4i1NMcMlzcmLcZu*5+p$cmdqS%S+vKy|<oFdTFg5gA0*Z~<6@ z*9*t6U!bK{F`>{#j>7~UQMF_PpHJpf_87F>Yi=gQm{_)1Rq?Q}Y=6SH7(yN+h$s{W zgc?yIoDIjYoEKU6tKkW}B}Y#pYxowL?0nBj8H9oxY3_*d{nJ>ZACK0_W8PI>c{~ZJ z91}*;ww&Xdrj177d4<ueYo&K6WZ9boW1B(O$WLI9%?M&8i%_d^H`SgG)?{>5pU)LN zD$e1!Wx*jea|S_8RDS@{3yi_B)|!OV(Zm%VR80yFiUUW!?+FHc$Q$BZgIdGZ;U(Po zelfm=w}1s-F8HS10@@B1NeG!)+5udfE07Ecp6et7veW{|{#px!u!z7{p6S4qwHY+f zE!P)?OJGuC^<2MZzri&`%;(c^U=%z=Q$uVCfX{cO*k=rBpMPc{$gn-97>R;=2H&ZG zYI=nqUl-1|NE<A^2234{O5i3Ep>+qg+gh5Gqm#iX#Av>fpp!vp$KWINYzrr(z#a5l zBLfQeTUf}*AnUVO<221$7k0hG7Bj4CjmzKyKmagBLsO)2I*@{0^vB4cZ^UyvC@wr3 z1)LU=l<Y-mdVhv}fe8vW%iM(50jX7<I~Pxiv*sDx%9pDgg>_nraj5kM$~2B5<QF`h z1|H*ZesS?~cvUEnLJ(|o#6IKkNMYci#o#Rl9+&$nel|3jcXtz;1MLG#$RM8i@Oe+e z(%K0~rV5G(>j;O;BhLcK4KxwMs@=_>UUigu5uzo$+<yW*&yeO^+J%b9vjH+l!$MAO z(t(dx|MH(}jL$J-CcsSvjItFjF!X771tu{Y;AcaO?bsruNy*YmuLzll7cPc`h1v+7 z$TndLHbe+oOo(^U6L4k0zoK<P>WMnhbsbz|6m-cd;R~bjAY8?bfY&Obese8%%_0v6 z$SuC?h<{_SOqS*gh7o)m2cV$v9caZqNEn)956n=Y3B_J`41ZUutMC{E`bHTpIbL!p ze>XJ2DQq;TM1tCc!O-;*)Kv$zJ=lB{4OYG)z?e;51*6y+4z9;sTI3otWIHP8k-_Mq zVq5XQzyOSi)-tP!W?_TLv_cb8(hu-b)9ELCK7VWj&ns5t>2owbUTog5gdS^Rc(Ffi zh{3r2LCm`>k6_w+<Akac<FGO)P6X8q5xzOsTWF52mP=Wbfg=~5PnOb@)0>bXAYR}j z0{{y+K!zXiwC*CepD?qfISo9H`s4Nl&s+F$3)>xFAks_NRpJ@8wu~Cu)#w%-L(ds> zbbs&zU?p;=QpOG3NyD{*oN>tAFfe@Johc{BEg1i@NmE>!^Wd%nc7Agmk*lK`Mi|Ou zkV_L~TWO-DNf6X9?G98bkNih@7WKMQhE0S<!sX&lk9cmYU?Gh3Udl0S7%sO<OCZW* z*`*1>7rr%l3r^P4d{)W^LQz#EW;lC>|9|14C<eDRL-(4W8LN{tK=8%jdTGg<ks%u| zTOKwlpm&TMkVigYbM7FIS)CxCON|U^oMqy^0Wr%$E`xD2o5y1l_XKp%r4E=4Q#gqA z*k<H!8GZ|-AzZ|@LEvaonzI&x2am=Ty5kXkt*(F1T4Oed_m0k+6}b+f9!o~Gi+@QJ z+5ldupe&yMPMATW;v?yrJ-lV1h#w4zCK1x%`Ip=tgik#-o;ioy;*!ggFS?46uEuS* z8qg*{H@=|BnIr?$hJZ%1Pb8#~XGV;pES8{b(QRP$_cMQb^>OOQaFI9GGQOy-waYvR z01VzyDcb!LFwGPKf&9|z3Q-zDW`C#$Jza+9#<R?is2XL{I*m_I0s>EAn+l_G?x{<C zQ?Lr=ben17U7c@Hh6#yxXB?-kfEfiqM`_?&mEy(JUWU6c>_zm|yPJ2fK2GBpT#5OL z1N4iLL^)|eO149?i^7O;`1^-@8JV*b9yUWU2A^7m4Ls_kX*CCOxvane9Dht8*wuX7 z5I}Z3UGw`tvMV_bvyGJkYlr?8e}~&3D+XFJl}s%VTF1na<k2a=g140mMo}Ph#aCcp z<N}3n%;3j-0e9u$w_m*9GVn7<RD*dLPvXcU8cB24w?PmT=7w~>vL=HkEH33e5B;yW z$SJShSUc?4x%Rlpn0W&o8h=CXfkQ<H6IW3AqS<LhOP~LPlSOh56{fpnf`swr4&%XV zpw~l0(|iO<_MSnG)8q5-;&S971`N6`Oa0gNUiU5ker7fbeZ&FqrN*-A5T0XhAdR%< zFsN)07~kcz_!0>GdGgpS^Emkk#mDPWG<O5kTA};juQg_EJj1Be7JnS3Xr!Z(FlO`9 zH}_wLGvm|%s*hvxu3KbAkMj>W!`W3Brt7%E+pepSrGw<<nTDd8H<(YPU<{N|%?;=A z4M{S<<2_68bEIabhn&agqN3Wv%o_p=^Yicd;={r7QDV9Id9>zy#=Cny$QZcu*&N<f zufrx=xN~R;;7}57Cx5B#2kyW3j!g&9Y=D3QZ+`!YoB!m;-}&)xtG_+;z?Ek|Z~!*p zc2X?58Ju_GTzvTH`P;6z{wmdV?@#9Zf;nS8d+1Ke<R8vvpIln(&HtO<d}jM~W7mCW z^Y1<~f9`+&_vd>we*izwZ@T?AU-{JV%!h72{MYkO{^!TOu76unh$e)<CV#m%zc3xK z=CZH}+v4u5wMlk9=jPXAli&l9?De{^2_F`7$9=F#ep8{~AZ+k_@i!;l|JVQU<G(E( ze%~9O{Ot7?9*Jyn=fTWD*HCKTLpMI~p$o4638(9x-$lbQADzz@*(T2sJ*Un6hi<?3 z6K7w)_4@DlUw?mc?%e!67anp82N3Pf+jsr);Sb$@-75osW1c+t*w?}SdI4u0@9OL8 z;KcW;zKeZ=O6ij`{AJrx$x*R+-uCSxVf$b@2zv027jr9y=$qS-yOYmv;PX1RY?1Ff zhxk;_War5A#g;$f6#=LEji;Thg%c-|Ho5p>DvgZTuYWz4=>5MMOAQ}}#`lP~@0ZaB z-nuq9x|7eI;qyAgCQn5+JJvHfHnQ*H%2%Xgf=_L4_oc1Qe{{-EB$sV6HYO)!z*rx= zJ;LLB6Z!UeY5V@iD>(R~Iv={FoqVRo=uD|};e|ggm5xO8W5@T8jqSUbx$Iv;$f+FI z@vmB)dw<v@F*(QCGw8dl-b(bw3oGf_zU#>L!N)7JeNb7$452$Jeb?yB53Nng`cEC- z-#IpYF?z=EPa?JdHi^d&<>8Ew5W;Y8wHwh_?`D6r`Z)DtOj2%+^EyfW+#kY~e$m7E zC7)-!vge|Bcw2&pDvDfEDH<VK3ek#gn(O^M(|>OQKc3b1Fx|m9Cr;6OP=QPJFh6F- zDL((?i{4Ge{$IIbGW#XQZ3I-GITFZg26?f<uNL?Pi@0mgOYV-f$4$mWUT?reb<b^q zk#kKr@53HV-llP0iRbUR3Fo44_-1=HOXR`^ay|-#6=b~zpKro^e2xmsi_SSA!UH70 z@qc_$M4IvaPvZQO=jPtJ<JU6xGi@WF2arl(d~6#8TUsdE_Bq67HpKvaOXt}0e|i*W zo!K+8Lbe!7#haqbkM@jlcxU$v&g8;;ErQa=-y3<W-5IK|RnuA>K6*5pJ$iI{x*~T_ z%DbKHa(MmD-Lbi4g=-bQf3^zVl~^0vWPktu|Ms1u|CNu&I)d*{UR_s?)=Dwq^3w6L zO-8$MIz$A^+NAZ{1EOTBUE5Bov8@cB{l_ho*3c%MW5JF}J1M6a@|VN#pq)^U%!zm6 zgt}(h$zE7(W~5@exU}~8`Ao@5JDC|Blw`K^FA4ztPFuGmu%_GAPp&Ci{Ya5bUVluG z(RWQwZaw?xQGP7sel2PzS4SRUFSnD?<7JzScE>uUu$|xmG6DOp9Zk)Qw6C4;gd{$b zv|&$0nTWz!ZTX^bL~rC;zDyl*?Rmd3nV3d257}{K-3dNg-lwP$*U5a(hV4E@$Laf? z&3kNL<Fu4G2=OD?apVBMPuMW_Gk;n+f0ng;2S5egQ;{3u8QT^(r%I{~yY~4Ov?%<j zvW!u<Dq2l2<m1I5N$@lOGKr|90lccFEf+?fpeFY-<$a10JN0E7#=gX1EOs<^Ak0!? z`x+NH?32ZVPwkll0{a;mkNu0{rluZU<gj1X!8sgc`XZ<MDuN-CNPUWQB7ZCs{TN19 z(W}F>`4_+)li|}6cq$0^YT_V9L-;Z+Rnt^8A_^Pp>8#PIYY7UKLRoM8uFJTPFHYPL zzs$)SrKFnIR|7el{!1g-YsH5ho^JZ5Kc_0Cs<6iMBd(R8D%MKuuU)#UxBYMRa%bux zSBq0&ga);tEKb&=ltbu__<tFnL5COnLon=WzC|z+d0s_V#nWE*Tiu1;{~4DjuRQoC z@ihZ}nYD4%$|Cg2EFp)AILn?Y%S7~zb!}0nc$ABZ-HHtC{JC{YQC31|3j{(0#%bi# zSSoyKG4zTf>~?St&@K#+d|6OjN+Du=vlS&9BeMn~)7Q>hA|dT_6@QVgm5$Xcg7&DU zA59=kxg?MSsDvemHyB?KY#0(J!DH&gi8F_Ojoge!cr4n`=&(4fas8#9W(sb+h6~wq zganW00gsI|@@sn~K1ajv?LaH0v+NKwM=%m_;JA<l2a;M^Pa#c2ve*P@4k=qI_5zgL z!9M}dB(8}NWASUde}B1NG*b^5;#e(JpluiwgY}M>whcJr3JQbY!Dul6uXZUvU6`N= z!{t@HOmdtGRKQV8p22=mquHpMRV?iS(R`I=<!JC@Y0@Ic!)wUdX`KOQpaacox?wS! zp*q=OQ7wRQOJ7|{k|#1u(|mKM_`Xg!^U4(km=!sgLD3Kv$A4?#onzNGtfqd>u=HR# z3^lyEi#wbC#H1`zrz2|T2^(Yl0&cELSu=!^&%#nz#R{LIUIU6IdxRFajP2%tY!jHW z+$gI+xMd_VkbnvkdW*)OLE@_hJXM3@LaqViQ3-P<UaDz^SODk9P_NU^3+6bZ!7y$( z9v<(DGGsayxPKWy%e_LpN@D18J~=ib;dm!oe0OdUn<M1;8S!~@5ex?MplYj0Vyc$` zPTILa-Yj^A3uYvs<j#3E;<GoV$H6mI3~{3PH~oKAD8z^Z4*@k$jf%oc;QS)m3uj&% ztXV;U3PnnLX+_3m^`n-XyFTqvffsE<wcyl5Q&-Vo_kYj`^SD@qGwBYB9n7LA#Ag=e zE;-W(&W&pb^5z2tTTK-p5vFFr?F7?6c$6;F;fEs_873tP*Q**Z!0@HR3r-TUb?03s z$+S|)vIq1W($UZnhv7qCkD*%IoO?NMniL3B2q<T;yw2^#8hYareGIK;Lb#$b6q)Zb zTp0bew0}1UoDeE+U>wIV1%3|<d_}R~Z51F_Ayj-;lM%k+au(2RQNIis&2BtSg)j6) zxvn9U5&oCU-ipUmyl5x75(<4RwgL&2pyCf1zc5iyoUsDAiv=na*ci$f$*b#QbtiW_ zC;`nY3<e&JxQoRhTEg&AAku_(g5J)z%_YzC0e{m=u3>?S7R~0YJLfJcDog;gmxf(P zkhY0nn*j+iaMlGJ(T^@lfQxMVm5?gfFpBt_yY0BPIlg7NIN4w-GsXMi(EUKGj4_nQ zJPC_u&v;{68wX1s=L+-)!slcRw*ZHCo{*zhpZJ^Z#q5eS_g%m%m|zj2us|DcE)jkN zlYeS=(Vy=WBnSo4oSZYrvf2sd?whlV1<MZShnoh2GUDK-!+N12M9j6kF~qXouIe>+ zW?6O+C1=%42Kuxyi*w{=6l!tN^}uC#l`q%&0<Uh^g7%$<1{FDlkKwcv=Fn&dODJMt zF$Hc8^TuvZp?90(k3@MB9%AUU*+L#U^nU`=i=-xkxnNGw2%>1j+Bm?w6VJ7sW+hRB zym4VeaLI7E89>>pq4(Ts%$mcCP}UsY^&g_nU^5MIrjYmaBSsamiDcYn*~8}_ykN-- zv}f)?;oOYQV4EU|;*F$@Zi+i2B>~*Hp97fG1il(^g_NO}CvKrJg0%E%mPt5Uet$D@ zi5^PjB`}7|39!g!jlul&Gkw<AL|pt<Xv7<5s^>RL8ao%Rqot8y`l1u?Pozj#t4_6m z-TY9dLD;=2|FJ7*an5Yzmvx>;LtN)}GdTpAp8Nii3B#f5QqZRrp6wA$<6raGgFX{2 z7wbGAs9m7z363E(Z;eHM;%tdPoPSW|M_FoN+ppB()`&5@plj|99h`6OTI6}HRCUL7 z20pzh@P~s1k?ZDiL4T*`q(PQhZi+fNXG)W1hKWuZARSpqPcTh0W$vB+9=gXj@G?fR zG2k)eC<P4jZ45|mD9Ry^W(o;c!h6O!W0i1&T!E(nq|591su)(3S;ddh)_;RGC*VR` zQvr6A($o|)ogXO=8l12N8c-MtI!SRNvskL7+;``hl_3RoN0oJ~VK^6KKLt;q!%B?| zKnj<c6viARCkxyJ!I3utWNK1LDB8~$VFKxSqtG(0>oy?zyqB;F+%@$Bd-(xgLDUoy zQxy@NT!#ZK9hrkp#^J2EsDDQ;{<uB?iT@f1aV$uL+3Aise=p|04qAyIhoeJhMSP8n z)*wt1V)9iy^-5I5V#U@m%y!hiDriDnRSXF(LU%>HCt<*MA<$SXut#I+WDJKfKH^On z_G^WD2Ff;Kp_1IO&{05Q$N&y}z8{Rh7`iK~c*7Uv+4AF_<!$5LRDa3lB5*-M@Q@`g zG2a>RH0yb$+D4WZzq1fTE6a(|emCZ~UdXJ$HG%lrqCa$vIb-P)i&O4(?#HHb*q4X| zJ#UcA_@F<bHBsKUG&FGO+op5*y&2>7^0VWJPY+CQo<^5t43aS!9{cf#ZvY4v33nG$ z?dOLO{<Y^_-7&v6<$vUZ$vhY5S!}qIXZkhPB#2lg@czXF_-LbsbBX*hVBZ#U#+h3l z3XNDc{cTM|JD;gRuY^!nxX(MoW-Co)as&T-a)7f{#G@S^GWz=c%kLRb$g@+t^AA2| zp1bRadlz1J@z*?@cVtli!@by?Mu$6+aiil-@$8Serw2L>{C~z3Tdz;0hI5A0-o@au z5!WB_K0|x`&F$>Bkgy4L4KG75KkuROmKEw@KckCBVwlAU{qA`$gI$~KUk<!N0b_4P zPK7s>SobFQI|}?vw4%(44C_1j;{5l&@z~eC@$^eKUixpwUiqb*?-mad9en0@)YHdz z@B8*mv)EggKYw`Vcb|ItmE+Ic{hiFcyZSa|GR_COyB_%JdCy;)=Q5#>>h60>*L<Jf z@ht1Y<nJsRH(h||2hV)vq5ppS4==d&uK8Q9efXo--g?J8Z1UB;J2r1S4C`VZ*CsGY zx!Awxd1%fN*7N5B^i{>kCb`XGlQKQxt9CtZCk{_(s(&H%wrUKe3SJGa3B<`4v){k= zGY|Z?Z~end*L=A2^$#D;W)Hz8cOJcD*VljH(w{%}XD?Wr{P;gT{mQqV{>Je$KT+tz zj>b(t=$d=r`OQ~<g9tXjt-JS<j0>AA8n%f!i27747~}lo55D~y*O$KW!@YNlO=fPr zBYUg&nSW>Z?&#izpJlr0l&y(Ozb09>{&dT-74FR$c^kGKmZ)sk^hx&-ytNvM_U;@x z(u2j^@wN9=`vzvFN06tQefy)Mzky|`J>mFY$@L6&aA5;cowdgmo>R-W>z<b7H?d!8 z>A6U5`sAiZ@oW6PTAM`OX7_y&FT16geXz-B9e<l(|6LuMl$Ks^HraAZTp#Q5$8DmH zmTfXNcI^)mHu=Kik0;l1Uzo7TX!pJ`Sf<t{KRJ3ydio{EN&;+>M4a-Wt$)$7B7`=% zc<fDYDwR6Reb>myRewh`HdbjTX^h85UZfL|E-&QGLS-23o`y}Z+qViv0qL1qo1pKi z;(u&yFx&%J7Rq7eg%4uDR>C}qkB7@q>Uyf>R+x4@T=839W8P}cWcWS!ilFjb-pAOB zJojO33HSXTyLfoOzQf$-v)FgY@&|a|V-VN}Oc|Y*U_&VrUYPKHMwj<5&Ska#9G)>J z6o__)vcv<G%VFTBLOhQ@ZG#|sstr@%Fn{MEN-zrFZ1)7pOVKzz;;VM6<yPqa2P%H+ zYs_2CnGEy(!yUJJ_uoRMA9?C4?`Ncf>}%wGioEZT_dD`F#UL=UUJhmH9mWq?VVNee zPw_T<H7dt=q`*ILarrg73NHK!xQX!YY(s(PC>2OkPbB~!LhEd}y><4^CDTYGxPMH5 z_g!KN)Lj~VDvK`+bkB@-%jT(D_g^qJTEe#=!oDrLuNz}E1xJrg?yoOyyWMnE9-op$ ze1&+dr}U3X*Svl1RhVxfb4TL&PQ|t@F@A>lJ3Z@x-k+$Ay|%}%mtUS?GmOr3KecZZ z-nd*CtNgu(>^=+t8Y4zyn^+?>uz$&SY4j3GmHz2RW7oVLRq~~HIveCq*km2;B%z~9 z-kncY{E=^M?Iexw5}*!s(`Zi?gLXGS(ww}!bLS{k+DT7O_b7ii<>=9rj3iO26?eP! zmThuGS7=195I?6ZVt6_H`>;u}5yctX<R7Ip=8YAoErfhKrozzJXZwR1`hU(tH7NWB zz7|NI#KcJ2Nl%@2!XL4*e@{I%15Lsve|YRz{dBh5dRVbZg6$>gyY`h*OKg*uUX6B= z$WI#rYcoI_G6Ips_J}<#^|S_J8o&f}^{{<m^>G@+Fb(jlLwnR3Ijc*x4csb}8M)0T z5qzt8-VNcDn$<)(@EG-ag@2uIY+1=#rKRTNsFvlsO@g9gL~irRIKS0A@5XViz8o8r zxAGg6cBa>X=dPB5!gydHQSpsmc+~+VxIrTh0>WnS%#nat<T(&reE3;jv#11DTLP=C z6A{03ymAY(6)QvY8SVN|^Sli>bqyY`8KYb>O(!{Gd5&{2XN<%_27hLWUxKXW<BdaY z2h}JA<i_rK3bWOau}T0I^F;ZMT;a(4rz*x~gQ^}Q?=Ts573#DHdT1Eb6;2Y1yl}TZ zj$6@dAFCAq3b#c*z*H?Fs`Asq&oLOJ*h*nlg|U&jA7LT9D&Go*v*8eBula;mjL}nP z&0X{26P&CggG-UpXn!2Of}g?2oizRg>{>hPm-{U4N_<4@2PnQdqUi<p5*Bg=ns;`w z94QrCS14pAV9d~OF&l6<t4^co7}eh_SanL}2wYilDL7Cj1E0whRp3f96;jm=`sEd^ zW&1^BZ81i~CWI?tVXI*E9TEgf+aWAr6X~cGcvw<n*z~13w14HJ)QKRdIV_|#wkH|Z zeAEn-rHBSCzwG-7qn71Mw-bhpa5G1iuwSJdVi`o+;4BKK;h+G5`7+yzJwg<#8CNxA z3Nu@w$J4dM8kUgRgf3z%&$!vEh;NCHH}s&Fq3OxME|2E`*JN?nYqw*=(d-BNG<?$o z)BIHfxv)@g1b<$Sdn%&$69yS+4m?_6d9fA9J(r^mQwTq0;@)mh%OqgOw2)yr#wr<g zS$l4%;%YWnD{T(nGc_3FecY9=P#1(}&^Mt>Mgc<Y`KT`zEZUG#3Q>F>H5esmB~nmv zW`}7JWQE;buz-ys(Fbq_v%?WL0-TLVB=e^&ONH~R<$oHtO`wP3jS$TSL;+i}O8Me! z5f5Cnt<Y{7X5h2Xc_yaI9Pm|Slr3B)x7-t}2#JwTzO5^%MI5TRwB0gWBEqiEmGKo% zB)WoG&SxwUk^DL06MYD)niYad{y{bH$wAhF;5`u`40DC|2g_45EZjzPv<Ae*jbTyl z*vN$u3J%N+2C)=e1a0>QpF#LEm;ZwXBbN?@1_*!uiW-RCw?%GqI>>79Ikmj<5)Nm7 zM3hE+5j}FV@6h-?uHNTvGCv#fH0xI9A&M8`U7IJbCv>+)^hU1b!;9Y#qHuN`2*(T0 zPw`atXEcX<EuIc!wJ$oto$;$tFa!xiZWvtNc~sS>pCf4bo~!s8@m0qT`6T-Zn!oFc zM7V#6Ky@CXC>Bq1VOoC^x?hRtja=|?zKqa4kIzA2Kc9axXU|Dd2=cioiuwGIAAEZd zc011j67GZItl_3t8K(}vNr+gY1WO`d87<0$zdPt8KYsGNG!`fFS{HZmRL3#$?wtTa zW={l5ktgm>8XX2BH=;(b*ztBhLa|WA0WE*;>*e=e_5rXSCI(B<>-hvW#?Uga7fNC` z@~=a<s>Ib5Z``-}@8Y3m{VL8_$)}E^Lw|&e+&U~3l!|-#Ct=t|Yq+>Ig2t3aF;<Cp zR>_Yx-h7O(%Z_5eb@i5qV(}wN3{~qbcl;5lxjfrwZWKw}XfX@cY?bjEW{`lGLi~R= zEJ(f?@l|Sq1Xp=m2>BzondqGNWd5UAD)Kbr#`IT-7x~y-NUf!8kpu`>5p<;E6*(<K zCCiJ9a9yR~@D+iMJl53-Vv@E|A+wf*bh20#5$>N={1u<6cx!#S2BkubP>cldrRNYC z)-5GLCqh=-c-4bJ3@BQWV%un!^b&u&q^9BUx}-s|fZ`dKF0asIQY}=hTT6>!Ow8Ym zw;q3#RGs35%{n2uEW*$dm$)3ZTtQD2g3%U*d)|g@nElX%4QLKoFFYQHu!;c-=Qw+b zO{&gZna{}Nm01B%{sT|j_*Mur;~$s9A9usxKW@i+jPY1Vgw+xrTLXZU3>JS)C^C^s z1l{tRhD6QvqDC@PqQXn2%Y_ocGB=b7RFo!yNE;m9S?{4GVyw8j;thSZdH|0HqOUzY z30)cv1rw6E8?8C56wILoJXKg527#}zTC2e2lFUswh@iA<3`d7$t|S+pX;{S9hU<#P ziY^ziJ(lQ_SP1tv0=^ZBMH+v_Fa^C_N_I`>avY$s1Wy(hRx|GSi)u_wI5tm}R#3xW zZ6WFw9ZMyc<?3vs#s!4DR)**wx@V<^E9sbk5Wwe8gRlw~;h$myUjdwE4+!{3o;gd_ z79m}3Pzs!{0nn?;Lw#;%s8&dQ&I(^r#}zyo@#8R=Kt(#zu*@9m(E@*3mxfQSGLk<e z&KTlIKKxoVFBpPQqg?b)idWiUd7Ypg(gk>2%LAz@CM}G(UdJGxNPGn`hLCcFiNDev zRz-<ep@hL0!WKpFiCg!-P6We%sfVoKToX?=!YlFkP%N+1%wz|x%7!AkxF~>-d89!9 z17!;ubsZGD^2^Ht=aPR~!sj<to~YB4Vru(c?_NJW55xJLShrm;G$M(hmQ)I8C5qUM zy6G^Xu#PmDY@?OueRtOi(6m&Yh6xckdm&O?h!KZ1jqza-cl7u8qw(p>5MX>VpM!z} zy1W}6#|Ytw2lQQ*F^lih8c-K;1u&GBTF9eUkj7eJrw3k$#hQP}i5xP65ciiJd#XTH zh1VK1h-mPq8VCrx4Q3;EvWiKtRX79-kO$Cw6Nqc6=YK}nRk|w1FCT@HrH{~%kCl*f z%BOi|P%b5MS)MeZouF-?VHky+4a1KEwCu`a&($tx@ez{&kAeORy!zM>Bx`aX1DD}% z5h<20|AW?tdy9WTH<Xv8m>3_j-mr6zv9TAdrV1s&+v_0{I4=>B0BfZ#NNttc3!QP+ zG#UZUZ{XS-17JbXahw}ZW{G*Hkz;L$zK+3S$+!wzAUt{u+qGPLNtL@gV+fyr4j~<R zTIL!^GWZJtO%`uRC!-*{MlMh41&1SOJnxFh)8Ni=F=~I{%&r<#Q;7Oi0V+~Nn|T>< zhEUx(+l!Fr${ij79&Ye}&S>E`i(WWa9we9w)PVYE2qMThWH1ADGnCUfM{vQNCNJ;v z*>yNeD>Zl~cp(Q}pR%IcdN-z?c&=E;!>S=@ct*;W#CQLi5{%7?{NPXxJmZkOJ%__J zuyYQ1#kYUeqA%<>&b5bcKBstqkPZtXI`MVxVD12FkdYV0EEsRZ7L*E;?3ZV%4&E;b zCQbogQ-_E)gMoa$s=#2H2^4Z-!PMo7s4=&|(#p|d1n*t3K$BimS5g?+$t#VXDzmxZ zUPKtMIoZ%y^~IyUB&u3KJ8UB~2AMW_QU(<f-4uV}YwO^};Y~t6co~K);FT>{uD<k* zz@kRj?N8;zG!W%L1CNGc#_Q6YHN2~5CD#Sk6~692fbK_H35Rj9(-<JjiKtxJy#Y+Y z&>Kwv%`;{gSo25%OE|QJI)zvBU8WXz6vBjf13)8<iMqPhE0nN)=0rIYx{h*E`|8J7 z2FrhSzn)P-&0>zE)9`0!Nz(xPRsau&omno)AG``?@@Oj_Uo;^EG@ccq{NeKzDCYtf z!5}<fT{)2`LhIeAcV4w*`!r^1<Mn*A7PmYmM|jV)3_ycoF<;IZZCYK>tOeF@`1N^4 z;S_~1IeBKZ)d~|JG?Oe?`A~(81XDjTQ>lLyjuD;;qJ9htr!{q`{VV=nUK1%_gIO1X z2k<x`R6ztVuYu;t>Ga^b(V3-e^+BwgAhmMY!P*)yMlw?+j5CWDsAX*ETTx3!#mZTZ zEuLqR!6rg^K7`cNFh--yL5)0S&hgXt><T*Vw*;U;X*xA=7?C3n=b-S!SGX|kJs*Gb z^ZC2*(1@q=d57ePSwl1g)?EP(waa#S5SP9>I0I1}g&T~vY};j)^@4!_ScRPg7_?-M zOu2xDA;HlgFpqag`Jz`#?K!&^w>&0?84%U01vXc1$0Ilq9#83W7*e3%I%!tPcjvjQ z;=W6G&+5Y*7e9X%7s)^dCRb3m@l$`Fp5F$e&<^1$vW(q}bOth$g|#*SSk?JD>Mr68 z8k~0`b&9jWJf^;e61g-lW{YmVC?!b{<c#VhW~ET>?)h9QZ)(_Z)*`nC_rAX$nctnc zVE3;-y=z-nUuQQ@nZjp}nmCN;%iP<y$)!z*?_u8Mp1teRU71by{`!GO9w~p`72KPj zfAWcfpa1pEpMHAVu3ftx+}W8!J2{9CvtIkQXXuQ@^HkOy!klcyb!2rDUj@<-E1T7w zghO-lxr`h)ZSl?8<j|4%VzF*JNlhkGSUHr-&*!}QHt`TUb>E*~bI`r!f^#3b=h9Dg z^`WKU5p%h|>x^t@laTT3zPEpW%GrAFUB!nVDb6p<cg&k7T{<|o>6zcTG?&{I?C9#- zwu^0Y-~*R_E_<fG`J$|U5uOlU#pjcibyk<71T23Wwg$TXs0Ou8g!5+SVg6Qaswa(V z&q<y*vAoF9#JhyE@nK@&ugY&vst59pJX4nSlzjK-&E@c^=4oh?U{imqi}LLEHh&h= z5I1!^{c>|QNq$`X>|^11D4|XEg<nQKo;uDpF5x+ahZ&kqd^Nt+rPu&@4Q<l<-d3mn zhd=&>AF|A2$4^E!`QGwr@aMZL(A^!LhhlAle$RfZ;P|oQ$Ji!hO+o~lBqCNsoEpA{ zHtBprs|&E@pI`Ys?z?}E^_=8-?X0%%f=$qO;Q_JScgb_&WY4jl&?aGyH`peV_S}=2 zH6<awH_{`A;pee+S$L1gb8F<G4*uh^5$h1E^H5>2KW&BYq40h!3RgJl`hxzqSiI;S z(R-zDdJpCPFNJeb_#6}k>=5}lg9AVHPxrHn&MSB;?sC2gkz#*LTY=9G;WI+26TwU! zYY2GCX(2jeBnmfjZz-B>d^Slf-C6ZFaH{hVlPOBQIXs(%^M>rk$c?;t)@>PX3JUmE zl215K<u=yAEjlyBWyZNEBg_QX@{i2D6+Z^#I6vjcuZE}Go?Df8>V2z~l+6wf){k>} zh@N|lJ)`t^eYSrpZ1=HF?pXmdc6h+qDK^|~(<zsk&+m8<XM9cyIn~MMpp;74Z2Sy6 z8*?M?IGgIK)}~kYyF|+-F*s-!kkm3oN6gG0VcHB2!cD->^N9}vCqtV=XQzaw32jpP zM?UZ5#lIar5w{6u$AefQ^J+r5&a^5My;0?EfxxmT#lnBO?TWY+<>F~1w8^z%lT-;& z1(G(Q@_8qdcol<()6NfIe!}g<a=%*lbQ&)()&P_H-}C@sK%T#*2GQ<pfL{aJ)?ak} zQg6GH%Z3}u`}yw4giR*-oF{>#O-ld3_)-b&B(%xZnAZWMorF;YQFFs^w0_8H$iOVJ z8e}l5gRkbRglkNHSe3url@;FRe6AM0XREoxJb$#>wR!eb<W|$e@L@~GESTk^jCQ}G z_iQCB_%Q5Ib{sJroLnCAgW3pGBf@I%k2a8U&T52MUR@PMCBr@C4kw9k9C8%Ta~xgG zVe{;M=Fwq1)R_Xicx_ksjLoxqPvn;Q6iaYF^CoefrI3Dqm!%UuI=lz;)b$7(@O4_e zvlP-h?0!a?4a4Ah6`-nyw<ZU?3b6*X#e_}`nN%$*iHWt&h!?+f#;{5DMj>Mfq0@-# z)Wd7evf>*+$vuAS`>S|peSTdpx~hk40;eOMvL`}BF8a6ECu~~bUDPtAHp|dzN&K5t z1<?Dg2Q){2UUjouPl_##t63VJbp;|f48qAhUh!|BZ)JVzC^uzKgn0e94Ybhz^)(j} z%2J8Qng@Rih8+$G#-bJDD&S-2mf^cBMln%t>;X*JpjMpuVL{<XWytI|954thR?@We z_+7^XZSmWZmAio2I=_}w{gFDcjKzgcErY5-X<#&eO?vE3i!#IP#k;IM6lT8iC38gZ zcmtfgFTqMyexEuG1k1rP2!}4C%lH>W&D$C!x`)++X~H9lrnFs1=rvw4%|g}zI*hB4 zm{!dSDqBb*BtuQfIQvjjY}HK4^GpS&t=A{*LU9h}$z}oD8u9wt;ZK<hggo#o3G<C7 z)Uw-uj+_uD%Z!2a4w-4#qF<g4Q5n^HbTCWfxDAX?`Wo9$^N6tk5f6twbC^shryY(r zOTJUANRIMc!L-QN`9E4Ds4T`aQ^t_nqbOjV)hy8SOoqqjJl0KwrLyYe3ME~^q=<5c z7y-;VFf$sRB9&b<j9P>r0=%H7C=qy}_nZcQ%ep~QT(^`a1gK?sw!XA1xe21|ToAr# zBF#(Tur?8!HKeBj-V0j_^exH<zqnAMUtg0tTv@l743#S*-lOzmDFo?RG%dq6f;@-P zvNpm<vjL{nU^uA|;tT5{9J|QWQas$e-_&PK-(DZTJXS47#wbi#%^dt&6pUi4AJ!Cq zS*y5Q&d8FyHLIXNA-inN<E>o)*Uc6Tdpue&@My)6dD&gsr2%Ke3$nvZmX*eM3n|hW zaY}%)xis}N+wni@<5z_(%l5Ryvv;wD<Mf)S#R#oz4fJakfnAC)2>i00L>fTQ!6c@q zy)!`QL9-(|1GhjH4SCqx2)dW{rn%35;>iKK)nKX-D}=e$)B}U;8CA(}4UewDlo4n} z4IxrM6~oze&ML2BsTWk-Vq;*~k5d^6=v0hrWKSE;K|zBtyyOmGEyLc~SXM*|B9%cY zaFU`&Xi*g$o-gx1EgE-F$xC_n3Sd$`c7!ppfD28|X!5|-UXWtMl8B1I>BrE2>-Xjo zzq>Xs&zdArInA_<{&T}wqfKDQ?MFX^{fAavE-(VFw`k2oSuHAs9RQXK_d%Eh8&3PM z>U0q^1ayT=df1>Z{7dqt%%9x9*<dkuR!txiS#(<b+W;`F2ZQV(*$_PJ+jAzPP+eCs z)~cp1y*cF8ziN&z$)yl9Au1Yw84#33KV52)baDI_G0D}DrkNFdvcbyZfx+>|cR1Qv z2&NWc0c=V{K}?nf^wXr~is$%6MrWzeKWFg77~~eH56_5011_GaUZ6rT=zll%XTOmg zMMUqw)n*X;m^LTE;yZV(DUtfM(y%PYEZ{D?J{=A+4glAP$KK%o$|RY8DGnJ}fUO4{ z?1k9;5NRmG!D+05;4OIk7*jyl3w#g4-$M$42XO(V36rvo0n;EE6rpwCV{J)mz(7^d zrKiX%<k96@sU{pw!rm%M3SSs9!QczCTMG`f&tPo_JikQAqTNgg8aM6>q?1&+8<xN} z^?nH<DI`aJh6>!s;C+>UK({(w_kF9D$U3S6=-8o9SH4}xRT)i5gKgY8<p{23G;UjI z(jV{@=po>%1?<D@mR)Qm)il`S(-S@Zl6fah8GdveoXvI2lAoiTM;#f6!6QqaG-hnl zst~V@pt+vtHYN~?_TIeOG;D>g6U)Ig34Kpd;r>1}8Li3HQqApuc18FFU=Q{_)~l2s zcn01CILhmB?Q&GcD_ny^n2KKamj)&{R6r}yNCpHnDvU`UvoxqDh<gfx^Tt+XS~?VK zFxC)yd4Pmn_Laf1h#Hw-?Il#phffI4ad3y9?M17@$e;CGGTQe%em{WL*yVcj*w}?v zY20}sDK=5t!8A;Nfhkd`8ZxbdkX!q^`l?i*88M5Y0nVeTBG(JT9*U|NWmMuf#~|>_ z6oA5Gy2CSG-ggB3+m~nx$$={mKASSLwhs((j__XtjBBM$ypPu;u|~v#>mTB*;Y(Z8 zk@GSGMlyN_#Cf>NQzOZJ9-{#5eSe;YCo0Jq_PX1%IhX=}<|^Q|7xvlD_Iq!+286xy zQ@Q@s2~yYLVMM<HQg_~iW_IxIOa@Q%zC8J}fVP2=w`!(FzF=z6HGEAYysMjL4H?4@ zqb6D!uNI;FOo!@$HT(|EbqeK(CSDwj!-K)@dlfCrNrT{u#%%ylr0f?qWjz1J4s=jz zlD4Vr7Jl!4yPF2*y}kb1-A{L=Xb2xG^i6NUg?v422BYWEcmgf<8$g~5R1Jbc6>Av; zzqeXZjcjr_n?00qXwzL!yt#1K8Nc3r6N=#E&7GcGz<7XmVvFNAC>vTy{!g!d<(1#w z`}waR%vrz}=L;U()I+;>J>A{cx#h<D=6loU?)83u^{riFH~!Y%!>_#bzEAD?M(M+s zymI3M?yctyyPXex>R@W_;C*|(Zr+faYkNCEw$V@8%yNdyz$jR&b#UglRx3+vVyko_ zD%%9VXJ!6o<a2W!hdb|sP0$3)9cU+_YciIdbC1I1pZfeqUU~VvFZ@4J_xQQQEl6v5 zXcugMlIgtb#=GZxx9;A%@T-@0m9Cjzn*PWqUV8dn`~KnWM}Bm{-50!cp6a{clb<TM zbNAl&rEBKi(AR#OBnsQsK-d4?a`D}xC-6Djo|WWktrVrEYuH9*`Ed8ybYy>Q!D%N} z-H(pYG^KgP{trKJ<VY&T>*{%{7Oxmi1OIw|fz|h2Ru7HKKRUxUd8(O3^|b~O;fPLb z6Kj&0<AZ3Gp-r$>IOYFTXcO6UF{9MJeMetcHtBj-W9>Ggg!@DqkgZL!`x=nT=n<~< zb>YO1u^Y0Rj>L(PP0&vK-L!u{x07?uVGe7P$6uW_QSmIc%-{X))r*oX2@Ru_r0-gP z*(Tg~ok&f0R|~?{2duMan?&pDnFBWY_~@<hppO~^$M3)<Klzh3?mpPR{Wh6wazCTZ z(|YdNf4hd*|37>00$;~f-}|l^jpWgeERAGYR{YAca2&<t6E?`9<`pfe8%N~kBs-4f zq%_1%4u_LIKHLOS9CE0=Bown_LJ%B(pg>EqNkfym5T^y&Kynk20w-|XI_1)|J%>P` zoR)I#4Ti*pOVjN8`>&bVkI~*+`z2W#|Cg;<um5^||FhOS_FA)&<<t1<7sqD$A5Ns) zufxv3egY447<+`EHifptI>nSXh{tp}5XR&8b`w7)2CsqKuCbZH-4-Pf=DFT~f&6Zy z>%%(6vnl*~;jd>*`ROo8W^oOz1*~N~WAn9G+rT~KR9T(e7_MVfnRj2%W$*Hoelxyp zMV!skxEI)A+ivyr`tu!kSC}r8yVX04dF3wFG2%npFxQKY30VC%3yrBocnC^r#0y&Q zxD!_DR&Sc#Z5w@3_{uk3_qD2j4I{Z8fAJixB`f|XKK&=<%5dGD6LUfpMV)KhwisDO zYkwx`mTIV{=Kw}Bk@&38xfg}Vj$)Dbf!y)qBZ%$lDoPw9=Nep3TVpN>SF#lAgWTe$ z|5mPqRH~<EE~$$8oUfB1-0PCL+QvdJ=w#o%bc(JgBKM*Tos8NiQZd(m$?8+(cr_Gu zya()H=IO*bt6YBQC%C?=q9|$H7=(g;0`JggKYPI3QsC5~esXN|arBcDem{xV8~Or> z)ig4+#8mDlSN?kW0<O41l=Ks6#72UrO`{6vWZxw9legq`B2J`?POc8V`GHG{e=d|S zWCey=pQ^Xg3568?4N=*Dq<*~f6`SO+Sl1kJFc*5H3*xsJ8{xj)@zcPMeHRgEb$mat zKjYg@=nrh?{=}satWpo;vNDak;ivUh{C-(I#d(tOTi>uEa^?XKNfDpHZPMk)2Xgiu z@N2w!oPZyu?Hhi0-cR8ae_0Uzr0=&~?AJ&M7RRVMvu&yTCJYLHWlWVa=%$nY^2UKn z<$a+;hYmsU=J&xMMCD^DI2;*?m?OW$zapcG+?0PkrZjD}l(JgnV#T%nps`{rKqv{G zH?Szv3jb)F<q!Ex7L+WXe!);YDlK620`ZF&ZHo;LwKV&AYG`HdsyH)@e@kE%)?Vu? zp$C3#k&0DKR8|LnX=Rl>@|~%Q%nFTK{a+fYxGaN2nw-p~#&_bfW3J=*5)@oRm;fy4 zf)renBrf!1q&b?2u30}a$VuDM)|3K%UsjW7kepmL&1_u~Q}O)ObrxS$e&F+EbE}eu zU=)Dh@=8ET<x>d3M7VJroqhu{oK&n3WYO9NrOa$2^83brQuO&}9FPX_m@iFENIU+j zMYR<kMInymC~}$m24%_$ltr<NVU)=<s8EFh=Eu$tsgN`pJow>gNEN?95yB!lJ1?vQ z7oAB|nUqBVw0I=)453s|S7juw92VjR3feDbh3M?0by(DC?6eK;$ZbFRh_IFJoi&AB zze{+7mYt!0_b|$2O^=u+E7*%`^x|fp&waz+=bbe>9E=sJinOgM(h6icupAg(%#*Ms zg#xWJPQ#G3-;)x<8>VN|+ha!y7)W{8q$W@|=A064iC8+2Nmw>!V!+7Y-9Nc>AWz|o zVoZr;a;_9!Mzr>zO;6P>TVB#X?foO6q1B37h`H#0JUGlCmL><O#Ozzm;slyLK?#w< z7`SImGDj%<3ojoIws3+7w3sxi5Qy^!G%d-!cqWo}#miPiuE`47wfy$033A>5PqD%H zLPnb-9rpV!TtYB+VTe5DY{EQ7-!@uv2eIMSTtZAhAue{=oEF<@BU*{8Q&wxrQ)@A6 zXIy800C7%&_F;4q<4}yAqb#XFzd!o!Kz}Bt<p*tQ?kXXpS(_F`1Do{^JlaqWfg&ia zV=}<e4-1DZ_?4Msj}Ht4Vx|GK=c3b#1-q7&R>Irj1zGu;#;`<p05oDD^&rMBC$&Xb zs<+>4)`%|USYvNAB^C~r_0@u>r7l&PTeW_FGCyv9NNH{ref@u1F=~E<78-#?Wfi)N zrWoH087a7R!rd+<PH<z4g)>gmShXL01E=|Vc+i)qLrIy%#o__#9$Fw#)`epTzhWK1 zGD)3~B#Hin&XKjzb8LZmVF=2bqFUv{R;a`Ja@`dV7>DVKx=av+9g{Jo*X+6EccXTH z6ES4J(p=*ciR7H4r!h)tAeQDrfjzMS6)Kp)#e8t95YL)Ug><m-0HUX*!2XO$k;QM- zP+?}PP{Qtn+VD{cQ-e?*Nmja9_r4lhK&Nhz!2<$_gr@Wa9Rge0hTNUI!w4ku(SS(? zoghs%4mP%dI^Nac=?`mM+z%`7G7NZsZc@L|Tu-K{(99r~ruNfXx;9KLvQom$ia}{9 zk+D42)6gjOCG?s&8N2*@;c89PCelBalXJF0FE$Y^K?M)cl2XK6Y8<--b{GM8#f-hm z1zkkrLehs2+#ACK;+R+OX0~==FgxEH_S}w%UQCXWSkvfT)`nG%i#}Nem0Nm$Gx+hw z%B(z8*jcU3!#${$7)?5$O`2|%wp27I(I*L9e}b2U$BaRwnXJNIOeQ%AIoL^_dfP{C zw3R)v#j~|5k_dJ*0{t5Za>U1M{Cca~0+zTY2vyQa!a^+4iK5*bos>$pLl#bL;j)0K zUfvkqq>yArdKO_fs>n{EyWlc^FYvYo>Jefq7EPjrSqS2iBw@wOwEw>iG)RprwNNsQ zOc4{VQT&nEN>fs>H@w2K5$FSok*3!m3@U^1FG6%>!Xj0v>6<vR6F!NX<5^qkVcfk* zs2*>~f`pVRE@n;r*OP^tUbc&1-J2iocG#YCr>5>C`f#QPuXT+ch_F9@PfwA<FUq!6 zzZM8c!#{`c!*C<chTlU_+)Ud)*V`g3^a4r1DWF+VaM0T?6y-AnEokZ&_)q@Oq=kj8 z@&e-0M)WZ03;je${3C;Iql_bN2dnHH#cHiIIx&YzQZwiR2|V(Z8izCmT{*fx`_>x( z_X#^gUrWLBq8_sF<)>MHr0DA;@^s(jiG;tA;J9|SI__L&YEWKtc|zVaIH)If3vXll zS$C!#sgP~ljQ7<BTBN6{4C6SxkC+o2Zx~DRKHTAuQjT2qgx%()2JaAawsdg$7UNxF z01mN3lf38JKS&KzH^}(cmJS;$>Bau-?SDTc_FvP!zs>uzu5JZ?<=PTMUkr`+y?Psk zTOeBcrYogOWIuAm?N8h>712q@;f@Zvq}+A<>6iZVJKlB82cG`ck?mJ+`{TRR6L)T3 zcj2%*`voo5bawV-?0?#|J)OoLf!hYqYu^0bx4rs;8=w4*gPVSF+jY0S`*&`A-E8i< zi__O0=(+2rf#EcN=H<uD*#E2E7Gc<eMsVX(!6atxJ0b=!c13jJ7@f3<4}RyRdk-A^ z&ch#k;-9d$W>@0<_V`^xS9foRPNu~`=TtxX$=>aD|CYpESpDmXZyw%!@!Kw*d-vP_ zuV3DF`P*;(_ggnS{LAaQ)0^9&lfA<#RiPRyIJob4t1EAR34i|{OeT%Yd(HWivvbKL z#aQSABO`6JJTXNpdL}1B@u6UIn_%92FFmRVv$-#JpgHPK@y<Qo>UuAq{MMY`>bhJx zS&L56lRw4obY>Oaq&Pr2!B&~1GrZQKx%gzlwG6o=E==B9b9Ew}9LIU|Z?&wK^s`xU z$DYetUF9o(Cq+B3evf)VY2LZdli#wE7ySg<IQh%o1ZLLLG85?JqKkZ;9Q`FkN_2wz z;af-@Yll+kB}b2Ty)M*AoYwjhnb4ebQRr%wZEj}GxHV5FfsSbGg4z<j*n@FolE$vb zjZR1vM^ECJrDW_PonURZSSwSLCr=*T-Ze@!rLil2t~zn=saF@3)>;<w@m>|UfwN$c z&b+Q46a5cc-j;E!Lk#5l={=}po?qY?!#YKZ7wN&>CUv?G@muh+aqu0+@AZEikBcNX z2>-YVi`8LW-Tfq7k5~}{_D!V6^5~-j6l8*K7MWWyYsiKTxlXKC)YqVI^<OUz_mST- z;q+{O<F8v3$-~!}@Z{7^^SQO8h957E{djYgsaTg48BzcGlg67lLW7gI<dS4^sAnWC z1YH-%m~RjGP8>0qjR<VKJT%fn^6rTshNKT+&sx0OpFEzUHC`fj^r*1|iP%_OOV<)# zCq!{5NADBM>xAyWj`vKS91=z+m!0rvoz{qdP;+9Wr-yWct4glNhT}Qhg^_MzW;U;0 zx(1EVeJ+USa?lCxubv6}$$7<f0QH{ZIdO96=vgFx8Pt~&as+q4Iir&+pc9hGtr8<g z9|(RDE6!lWyZh+d3`=BQWpr}MC8MK5C#sBHG%n@D$j~D_B=4F5Px?<KhYlV1`96$) zT_^pqYb2gy*XELYqgs?>F4X=FZ;5z9(R)MZGl?+-TjHbS$L*91ZIe#mJ+L1h*ugqR zOZ-hJmrzC$>B)BNAU`XJZ!@uF%BRxeVa)#Dbv0#M1?lso0Is&<zHKS&&j>Sp=lzmw zyK9@7J0xiTMU8tZTE_^}_M~q^-uUf*JI|B5C>-k=$rc&JXJC&bp49QpZ4`?9jMdr$ zZpR~Len>=MF}xeIt<KffWpbP-6BY!iqNq9JmPH}O&S~SB$p<Yuu=)4d^F#8rfunkC zv83;=Ab*YyMP=X!?J&G?8}AoGqe4gC_JJnhm*yQ~KB8tvY{xI_+?pBGC|LG??5&HR zs!)=D>C0aLE1YE&5;qb&zt;0Ziu0`=TP#`Cz1GZwoP|u-*3hn+tXib17MYJLtGayb z;y4yxi}lsJf)3BQx4vwuNb90FO=GK+2+?$5#w~5pk<e(ogOola|0F;F4P(9>$(w*M zVUQPvN&Fe(rw)Csu*1R&c?BVVmCn;j;e`wuarHjjKq{v~U6ev*h=l&(N|7%`uXM@A zA_lTel%k1Qy?Cu_Ve;Hl9^UOMPYEaNs^o+Tc5%cTWaGupmMAt&TElcNgqekuB)DW| zzRsv<Q@gTts2o#PJPbVh?$Fh&jSAR~#CuweoV2%FVkX^wA@@r$p-4r4LZbRgXq?_1 zj<B?b;y&)pN?TcY*@(}br)L43elRxc>qvV@g9KPxx7#|`g1j|;uI)`@$|NaF;%Ibi z?B#UO%~s}jn#v%0Cg4oACdP+pHIJRK$BCZA6m3(61aRV+Rl8{2f-P~{WfdmZV>*k` z1N{9YPydaXrHwR(wval1Xb?j@<`~gN0`WFI?`l>1g7J)iNik${?ZBc37+YvbvCv0g zH1!yvE`=8hN(bS*COK;-V4Df`G)5>o_yYr6St0NPFlJ1o6@`+aDPYUZsFqY{&B2yr zBZT(z$&jVt@d%>8SLNZCAV|F=3kP|OQCT=OZPlbG$ijm;HkOfpT4$U&O`1iLJJ2^U zxmZ|AD_c%ePr-Ue#8Ix{vD9TET78gyhJL9<nFrxTA>>q{f1Z38QQcnsq3ZGFV`cf^ zFf<8=h0A(si-)Us7>Xdru~21P*d3*mx%LYWYkm+&N`%(-n4Y2Fr9Y&=)O*BIDtJ{I zR+yh{Qjt{6rv4OvwPamHUezMMpv>y;Ehti(fDXho8jja1a$34W7_<^vN{UL*6i7)U z4$x-s3ko_GE$<Q5cDxjW2u7w1mIh<|61HojpP-xIMHl_Ujj$B<5oAIxoiSNq5fK^6 zFK(virUqJ6I5WU5GzheHG9z3^%!ofH{#t4&haGc@<Khi}Syu}Uc?@V>+P>h@|9Dg% z-G+6K!>)Sw_2OP3`;iPHBm*PIkwk2>Eq7XP7s;V%d8Ex&5RnLm344t1i_ftw)vC7O z2}46K9pc$BbcI>ZdI(#>g0Qf=KdrNx-fGQA6gD&~#x$N*woE%(>{fclOmnbjKSw)Y z3rF{594{k(95F!`aj8Ua(p6%77VEa4A$N9gm9ZgeZp2`dDV!y~e?=dATKGF-msB*A zxE-#0uIQ5)xG{<uW80#iMk?GnNhzi$_RLtg+p=-h#v<Qg3|1;7l2b!N7?CVL1xBT$ zc6SL&Y6Pe*$LsbZ%yq}~I^Q3^<AAEWO}cyvCwRJl{|{2!VAGSf@Ng?-PT&rBa1kJd z!C=|wfIGgk&Bd-|borJ*P7_&Ncd#E0ND8`s<L!!@^`x6}w@KTqCUs4&NJA#b%=g6W z*c;4mYeB0l9pG}L6hd#sH)^_`#G|?8uYsdy^esZj;lI8Bh0)_9Zlj>G!-AGoBS_88 ziNUUa3*1W)YC$RJNMxjE-{)Yu7X%z}X`FRonv28gZ-lPqZBGkHv*VIr`qmEj&s?il zc6M}{u7cc^7$)cKaL`V%c=duOcr_t!pTon6_sR>PiVl3+D|j&mWk8sWwbdOWA67I5 zSxFNf+r!2`Y*o{=nAUE)`=|7NBxS~Xg{&oi{%rge8Qa`WQ9yX(qzX=9p^H%*86>22 zTnb+=l1FjL?=U%q%N%`~7#;`zz3xVt*N5{{CC(OomU^X-TARyATmGK5L>A0R7uKAf zf=iPZ&y5Jt%BV<FDE>?YJ(B3P$PgW5^%R9uqPUxNsk&Pj(2AyW)?XkMaC_qJuECvu zQyp8c5nc8S7GOJc!s86r`0xWvT8b`b;&$Mrt4PLq%g~eFgq^&`d2;9Q_8Yd+OJhut zK$`wpk=^aM(~o|{b(OQjzWd{@b-SkQ$e1qUJL7gte6E2O>2hhNoo{^;SL-cXJ|fb# z<qe*te>l};e+Dn!L752B6kp->4xKfBZV&fg>V9ZgXEQVbc*5TA^(&bWGpfDIy~vuf zXGn+ipzb;eYeLAc_ldT|YD-KTeYkj)Y{tfNwTMI5Id!ka7E@Z6Yx!O0y!6Ylt6#I_ z4PSWd;B~M2#OBd|_~8dGJbbLv>A>1j+y{G^#14FgtM?s`KYjOw*Z$<!e*ceuGY{R> zen;2u{^pP0@#a_GblY9O`1e~!-+sXtSG{j~#%{B%#EyrKJh1n|&L2Pi;!B%T@4NV% zdp>@5`|VSB&M`Wn`|FsRHTTxtFiYriX%26G>Bn#K2LJS(e{lD;>)-I9eXsb%3m@!$ z`g7;o9cFE*z4b_<-*&y;gTHluY4>}sJ@LRt|K#I;o|(#g>Mg(d&6}>>eADl4e)7>D zzvAr|zG2nv=qGKqNbHyu5A5yk{O+TF@z)#PJo;GAJ$D~jb-TtkvT@f*-}B}8HWGHy z<P@%9{K-$cQr<(!WUvgVC__y89r2#(edg$~UaWsRfCM=)nfv@m*J%5HskRd-JTLhR z8xy@E^*m-5r_(g=9=kJMabC&1;-V~L=Im+NTIN&GNxNvn)tO8#*L7h1m)lQ`VBUME zCqIUCvgZHpeI^&^1hhwTxsj1nDw%9M;iskJzTV@|i5MZBBxv^h8KaYp^m4o<Cigt1 zz$ZpW+uGaOp@-*2eVwF#F-ZdNSPEtmJ7t~oy{;}_C$!Gg*U5nc$>f0pwEn@@;l4+5 zspoqpMf$3t^DwKsP5AS+3mL`MwdsylHSN^%mm#aLPyFP$QH))qqcnd$q7$t8+4!BV zE`RL8A|H%hxnS%{rD*K(^GG~00-g9{S38YeXN*qPyt7`Nr1IZ?S}skwC1YcqqO0C2 zJi3UCziDQ0TsrR8asO-HT#aSSK8s>3-G?vKx?guZcU+oBes2xQ;|<t29(^3FTO1E^ zraNfotzQ4bWIJj19TJ&g9b@>mCJ>98Wj#=Di|~+9mWky~B?rGCy_+*rJCUdDDZK#? zCb(W<st^o*Uzsp}w$Q(h@XK#Z9`3^rUa7WZM<>Zcj&ySw@(;#Sy#^Pje(b||E7>BM zN&4x_mrrpqG0(TE9Vec71OpMS*15Lh$FT<;jY%*#6tBs~xU^yNxHu)A#}J0)Juvui z!N|x4yw)Ol8n^th%f#Vu?3ium#7hXDH1`kta-$>|W3%Re(pEK&bRv3i1@FPOa4$k9 zlV&|4@xwJASCuvkzdPoh%WXh7baFJ8+eiG($0Tyl3EXtG&%f#lDt7GH&wfU_MkhH# zbYgxc3R>Ts1)TshxB?ye2{JRMGY*k{LdD>wdBX;BU}YkrdwLQHB!z!;l)@+`H2CgE zJKY5!k<m$iy1}IpVSdZs_P*4rI+9M%PXzi2=>(g<l3skp_+`+`%VQ53cd1U$RTBG% zy5CR2cfx+EV?Q~0igb;sE@y~N?05SuZ~mAVqdc6p#3hRC&m=A-+Y8n;c1ZumAY9)l zrV<n_oG<!zxId$_#x>LQAm`_YcuF}C?B57E|E>&wFP4|gm~&ytN^{rh8p<-)gN5YI zlSbmw^Q6>5ycg>lDZmcbGiJ7#XuAt?8HAsI9*LRtkH*HjMzU$W;<K=5U89Cg>mzYH zZPq;+KjgCT+jrt{`i-iy3N^`}_2H6S^J$zyaFMeR7`RBD;>|>#AgtAvc}k&7{fao4 zK;~e7c18HF)x|AYeieIPhk16WRu5S%vbMNtTCFXIycGR(vW$#weO<8D<NK}8wZ%D7 zKgk;vy;aV~#Kxi5{MJHk!E-g6B_+`F!4?-sH%Yhz2Pd~Qz8OET_&0PdG(|~meyTT8 z#-Dc;9MTu1Jk08pmHcW&7n)Vs)r)8ABBMipcR-Q6Mb0obZ|fi)b9ZskNKrU!GAT<a zdeAUlCC12S0w@xJxJp|zy_;rF!n;-|EN>Jvua4qqeIpD4{KGYf5nY&_CmdIFIxePO zg#Sq7G#k^#qB=zVMNzdlH~q90saj;r7`FvacKE{o^_Uj~Y)aT471prO4%RhN9+HHA zFkJ=zq{zbA?s=UW*d<nU$qsynJG||tX5nPG)Ds<2&xnM#1)YS9l-14=BeK#DT{pF` z1qyUMy#3veJUcz@?!nARZ6*^H7lJ8Up0;Qb3yhRFXlc5cWl_JN0HN`>1;<%L39Kd3 zbhMQ?BTV;LOPfhhVU+8R!=iWYK(A?kN;W~AFh_D56AD9*m5lk=pxcM&SyGg?QC&^K zZHkV*QK2Iy_G<jr+A>3IwG<+Y;YH4gVc~vS;Emxhg_DjDg&5D(aL@Vc9uk=1Epe%* z!A#PWE)5aN!*yq@3`Rg{iLFvtN05ZB@SjXi(IPKWjL8PU2tCavI+{lPbym-RJHO5Z z3y52EF(w^2iaszf=8Ff^#{6r7R6-$^jHh}rhhEWTojMXy7YsshrT3^8IrwoA@rv@n zNY-SV3q>qX*Tzq@v|ca6m0M#LDwZ_3ZWg!1Qi$OPY)vP*@Gl<JRmjjjXjm_2B5bUC zgoFNRQYb`li$FOEiLDuNmtaeOO&tgsW?jluLjr%XH2zj%t!Nq;PEbC>jyt3>ozs|I zdKX498jsShxEJFOsRgHbud9<{%Z&>A$PJ6c@c9$cO-h+0ElN@a{z)~APJ)es+J>Pz zEI!$uou*Y?w!DK>hK$-MufU{2M@;OVmW^G~T!#gIf0rt2iLqJb;Byjx)7T8d(HN8F zw7~md3C%e;#c`t3y_ay4bjlNFSVP#@Y}$8fc&9p*7*z0g%~A@wOSVLM0x@KiImYr} zy|-n}(l~u_hqaaZi*)HSFoZL{ErTs#G3w-tK|B<J`NI%LFioH!mmGwL94Y)c_`@g& z|1c@6i~OX)YcXJ@Or)=WM-q#l>3+CnZ30j{W@FDK4_wm#i*K$NexQo~#iqZLd>Vh2 zdpoq}e>Gj;9-6`f<A^&`a9X%qkX>-t!y@bFBLj(;T76qu)v(v#YG6=LY!puCgyT&i zXNBvFut6GUIeB0tE*a$WFXH47`bk(&DUDRqekRo8`l-)#AWB$&Q(`v;EscbIO1Kd7 zyAs94&z$qER<|iD6|%I&r2}-$z`g9d&vq=@`8qU?rAR5xoY)h7W5^P!1G@yb)4iB9 zy-Fh&*Gj((Uagc89a_BEWZ*~(qZ)+bYr5`jRok$%+MLt)43xj_P~(LcT~&MoEriBj zkZ6%5#g%3oMu|9o-;b9uw$@p!s-vCBrBEU>B<Z2j#NP;Q)BcTFQIubpZ(Qs(FKbmx zH13+{8Uh=4v2;OEdP=CTS^_-HNL5@C<lsd&qChx3jf1h_hd~y!$kFMgaOHYQ*G;3> zIe3k2!q*z9cpkbgYgxP6y?*Ra>h<3<h-JD$eDsKtmfnAVpYy7hgC(8U+AqqrAHoY@ zapz$%tmx|AF6=#)_duI?w)?9z9oQkUw9xpXmvCM=>!pO)x)FC}(1q94tD%!;h2!5P z_!-9S*tM%wEgH-?Lo}K0h#0b!xWkp_4$j>$;n}~B@7yJdruI2ldP>-_uv9zQPJO4_ zH-{&6D}%9r%O1AG9(qofcq`Ur-}wOUsi!hGWTtPJ`by{jap{vke3iT9>j}G0Bx5{u ziN2zXZ*$ri{J_AgJ37{SFWvLlb-OP7#x-C3$u-aZ<MEsBzvs^$Kb+`ajaxEp3h3|Z z{<99e>ivVio_^qK*|Y!QQ>*))`1bkUAHU!I!ChZ}AMPFdc9)%<$);yBum9LxM=yHK z-+bu!4<7iVgP-`y2fp{_CttYu>`y<vx$kG<3v17AuC~iL^*Ix*s@-EB?0@xuz1F+? zp4WC<CO_5r#bej};6HxjrhmHU)yJ@s@xA%6YpdJ+XZ>w`;!}TZKak3veaD^9$<yc0 z{_*dByFa)PI{C_;Zt5rL-uFNH<-1<o_L@(8==crCA3XS_f4Sqo-1g*)2haZFudg5Y znb@(`+;+FB?#nBm-e+1>pMBz(ndh6rjCz>flbDu@{m60~L;_=4$sAyM0>oxN6o@(B zCr7Z~&(Wik$4AV3<4|_Q%vMdO&HVfkaR`2Y@p;Vg?!o?!LYz89dBoFr_qM8Clj7J> z$}5%X*$(2pfamLEl5~PQv4|<B6JNyFAMiuD$x)gCj)~z|L*dUS#ti$I>!fviW<n=l zCZ?%NXfGMk$;ilo1L!BYeWa6OV<vZFQ!Ml(%=tb!V)k96eo`znlJl&Q5z-0z#w4wO zYoWCe3Z3gA+_%NKpICoe%%K@~>DV=iv5VI7LMKN_C;qt~<R6S(^K}C3X5b?^zhCUz zM^ezqA?O5S7v&UpovdzeMi0eluNQ-u@gv5sH~T5NPvECPJlfm4Qfae35x=RMH^H=? zk=}cb?-sL;cb>Ctw|J-@_E2b#i-#P4uU!n?K=G@@HsfDqZ@YoQSBW9`cTjwB+3|93 z`eLivbMuUOduZQ0L;ES7W#5`Sy#4~m`52zqY4wkdKXXmN{)@Mg|D9rg2G1#*-zjE4 z0ULhb#*-n1+wYvA^kP?#&)sXBj>Ngp<*gz!Nb#+z_wvifKX+SEugOX3a_KaG<#p9n zq8GFICdqv~zvmzMVDNf`?gS=}4rNA;Pad5-I&wTYchw*Ib}B>m@yReeot-?Iob%;| zGRe71-k;9WCd`v1*B;6-K4#-LTGbZl1Ug9XGx?qLb%IsxxV`$T<HcpP_hPd}k-8<+ z39cN+M^9Y!hqOyza_+z*p-x7B@WF}X9O-2A1Y}@_GNUH~o#d}N#W}~tz47a<YRRcn zy`+<LZqvSJdU7e;P)$zaGai$<k>h_=T*hQC-QA{c$>k0_LwhxnA)U~ki=!tFVDa$e z<Z-&sf=)(H>^nxW<ilOuGo+J!#}JP+#d*fe-Lh+ZJ34?FyEY}Bx#}u^(uq$BIw|QV z>0YP=V;8=E1D)Wm8KY1*c8#7$We@_LP&oCUea9%)AG;1bLt~fGNvy}N?*HAYbIgAz z#5%-F6|xN1DZ(D75}YsEifnOv!q`~vXzY&f`*s*EZg)uvCXkZ}MIjxqDHQgYvBUL^ z-zQ(pOsn>Fz*n?2(9k-6#4K!Dhe&?1eZM8)#k{%mBneVD`P(LZo6?aV`#6#X`+g%w z>mhe(_%ne&134%u+}E*f!id*)aOR1XhLrl|3D+IaV1BKeOH`%Cs!H6vs6s=W1@3q4 zt@9F_09ihG;m`%jTQGxq(^rr`>&gX73It@tu9yx`BZaAovXvr#;_>qc4Z01O4Zqz$ zqUuRn!P8f2q05vl(NSfC{oj+zM^z7Pw&wMwuOPqn3)QPxi1h+zXcuDUyDAhmUywt} z5a@YjnZSmE!tn~fNYU6O&FT}l0~1CIjR5+~;vh*96N~51p~#EV<S^ezW0?p)EH4uX zENJDk%1f4pl=||2LQCz~c(~CL!+|BRmC1*Wen+BD3cx52Q(4Fs4lTp-;2;mA99qdB zyi~tK!2(^2ezf;Q7p-h0qXw}8f+ne$9R+Y~N0zji<5-x<Mk5!$!+&j6lRELCI^?DB zH|S_F-Y3(_9ujXfP+g0{Vaz;X1ZlWaI4TLp^jHUuVhVqMPzyR39S8A;S&^8Er1Y%! z@qXNlD62iA_tVUKVfCBdNHVcAtAd?g>S>rRFKpQqj;9ALaXMQanA4R~W)8Mi1Q{=U zNx+kmENI7|M*`2%ma?Wzaw8(N(UIuaP@Xl8NvbMi3%v!YW@OMW;U-z5AlPv-UDvm& zHA>UTZy~#X>1oOnU1h@ecLE-Us=UF%U!h1qNSnBl!I~f#qZV?|x(Mn<Fy2;2T!Y=| z$SqV}BJc1cOl(XJYeFauw<mxjDFbsLhoV0hv#znHiN06T`D`xb5SgAsSAq{0Z>#_| z8g#`RcBxAs7!kG>Ge`{w9kDcva>d*)OVUChGX~m!bfo+=j`1@CkJjHN04Zm12{-+s zU(vAAOFr_ODUg1LF(K(be+=^<R(la+P77<yPe3xZxyq;D%0Rm_V#f27W%P_IJQ<@I z<Q-4baZ97^3U3?}K?aEo92);%TrH-v!xBf4*{-ETkWP3sP}yP#eoC9rnieU_BXq9Y z32h^P5%m+~=O7w#<i(^)OJiw~d|-MAVz_B=NzN33OANVUXU=(btC}=M8bJ@imKf7! zY*9zLrX{Y;5|mvijGi<k{(-a-b3$Mpqp-6XDCJ1@Nh~F`Wx|Y8^qB+d1Gq&~5bxc; zwGTUJBj<#Le6GZ(2PKjsu^bZWGYUjNd`!20KNvLMpe(X*CFLW<PI5c#DV0k1OZ*<6 z9=G}k9kJ9}(e>Jv5Sf}q06I_mxRpXYMPn)j)&RqmafO^g&=e*7f!iUrh~8;eDSaOj zB0@rCh>2rg+4yoatVPB=->EhOS5cmQL=7i5KqC-K>0Bi#)*<>{AC4V;HtoWUVa-l| z!8?xW*@3~f9PV}!{)4UJ)((3x>5`@=hH!atkPl7thPFCm*iA&2nI{*5Xx3JAQX7N& zBwSUDU*cI0VMZUMB&=GXqr!y&S9m<xYiv(CBl>{ZlN4k7x!^-BMo{$IX@y|*_tWN< z+EFxmnFV<GLJlQ}nX&${RSlAq5z!=n1&}?5XFVA0`^+GP<#41cBLjUnW+L_=JxtPD z6JsFm8?RIDCs`9dd_)UePT;liy2aO-kizX83dQ4OZ*5s)3~V74dW5-}yV4!A#kiOd z6X+=W(QQ&#=1W}3(3$QDIRM`<!o2P9(^ih#DVo&UNrKRU9@FR;@FV|zOYN|KCB;HF z(&-{c{LJRJwyaIK#iBb`$35b|#R}IWlC8y9SdK&cmcuxD7Dx3P5_*6mi@%_&z-0`5 z^F||dn6v{U3j!GAaCxGOkIBnK$L6s4*_JiMagY_T_T$g#xBOigdc~cz=B+)CdpIc1 zxdVHV`)(EX9XRm6)F2_QFT-|!XBHg_3ef)j7M?{rprlxu)&3m0R<vyfI&r+T?%S+I z7Zh`ox8qw_CI8jr?6<vDxc`+3`T|ut{c#KMTM7Gywb+o(mUwJ+2DiK;VZROUl935y zU}U$O?87qoXCX1z(e63jNSDZ3I(dtWJ2}@|gMC#x0%4OPE{D=Re41*1mD|^ku?u_m zYT=*@Om$6X=uEb{67=MqcK4t=jBf@1ZUcShBM)bU_sTcOZwt9|dh18f%{*n>S5j{y zfo`nn7LFE!JAV6H<8lI1!f)I@yvGsdUR#Ma$LSb~=StI!|0kN?8gth`zqqjP%J*)* zTRRu*_3n7_laGGu+}^K${MDnM{LY8o=lzX)%YNbR-8O7@+(l=1d+zQp|9IWNI}Z)L zaEsjeu}8o7u5SBXyN7m9QYWI`bYb`AKOb<WdtZF@!JoZ(^on2m?7m-KxbwRErrw`? zSHj(Goz=f?;Nt$Cbuqjd?tQK`3c;1+&VhcltuKB1=DVj;7wqkSoqErQAN$r;Z|ZBW z|KxXPS9wn*Zc#^|6I=(n2W)hwpFk&T`(8IX^ui0<ci#2-zaX9L^>t#NDKFfdxVX<b zZ2jWT-~Q5dZ+pwl9T(sD;P&hO=r!-#aZ4hxd-$x^uiO0E{vOk%TGfPQlF#ast*QvE zG^D(GuwR+U^ANm$SkN;$YUcKa^Ly!HZ`>4m^yt2QMz+ks+2%b&!M*Km&*ySO=e3>a zePpEPB7}5yojmyqiER9GNGMjOuVrHw&BrD*w1=FrL!J2ZtxX)}5U(W>UygKwjhpD_ zb~D$WLJMZ?uLL^5jNzWiq4Q{lF?51+s2!ISkvNp~%PXXRh>L6Wg{^8yK_@hCo^%77 z`iWT`DsotlGJ>B!pp&D%PLB4{ayZd;;*D=a3^>!g{DS$^<Lk|PpGS_JJlQjOVCcXB zXfSut$qgH5<~L;$Tb(>#pwOHP-61&teVt%v33Wml=fnuK(oPRB^oR)aC%px#W)C2| zZ7DK$J{ahK#2>qcXzZF47YSb{zd$BA(oGD_rW;z-sBwS&;gG-nkk%v8`a|2d+wo(R zd7#8IhUmkOAp#Hf9=++^Wz9GrmqPZ#KM4Og#LQ#+m@xY}jtlJPh;S#grJoK#{?rz7 z#LKKPd|J^abO0B?@k(B=C!5wE_U{)TCVQ(8AHE)c?}M=r5$`4SuTgooCNrdifiN8D z$dB7#|5Xb7zwZ0>uVY}NbmYJ6`kASnuw!g`?r&A&_8q(EYgm^xlFB^Od)@~QJaW|^ zCg(;^q_bE3A+3Eg*8o%0oG^=r;5K7dJ6&@qq8-<IjD86?=^74Sg1&K)8-;Vn3%Lg$ zDIMB>@Y?z3NY_XGFJ@-*rLAgEsFMSaggO~LLF=rHPKx&Gouf@MVR|Qfu|iJt<aN@1 z<(2U7!@4YUkMPDf?jxP_jOKc+U-^0Nxkq|we@8*@f{hM^(aBH@b+XZnBWP#SCY`<L zYqZ8KmFa!vybq)@)K6%QDA`z}cr+|vPK>30Lbur|-t-e~f(`|=$%8xOym7voJLdNj zXe}_g=YM`Gp8dqTJ#1sMcBqpBkAylIJ&~NFev+KS9dVIPf_{PmK{yfi6AWI3`!K}e z4w;O(w_5ezayj%9cu6PzecfOFCFvxN{iNrgOv@WM*vcg8O&Hs0GdD~4tvv95F|iAO z5taz{XLLSe8#%07yp$YR$2g7@mX}}Oh(Kd(Wvnnf*uN3^j8VAL8>G*#XY|VxA2q4s zaB#70YXc^YG?v5x_payp_NCj5SGe$JaGAdBJV_B|{UYqP;}&_1UqTtQj&VOJMfvI5 zj#DfdOX5%zj(CmRu`b^hzv1T_u7eDJ?PaoGBUQa2;}oS@dlv5es~d{1GK~t)7S+>N zjHTP&z?eGt6``W4?!UM|eZnkTX!{i{RJY(NEu>O-snmkL^<P_1BqGpU!L-JNO*I1e z$?x~7#kW3AwLZ(m&(S}R3TIQnRa$nX@KULTe0t3r77~fZH(4;QA;vV<-J+F$ik08} zpG`C;<QryD?3Wa%>5w<12JQP?xFtEwfgDu~J=%w62o7yaN{B;zOfkBSzY8YnRBj%L z7cGbKuf(|QTXg9c)_(Dy#wZMby~^Zb`Ii6A(GP(f9C#&WnUxK2OyIWJ5=sdpOl3G` zhdR|og}JU6v<5K|f+CQ;=O{;ii7P1+F+saGDJ<2aw8+V$Ym|wh*cg}%Z)u<rp;}B* zGndgD101ce&4$<tc(_HT1N7tp+ed6A?ERQ=?;=n_P7BbyU6671w{N8xEtV}18X7qF zQ@#o}!JZ@Zeo7`WQ&_9cSsUT#DH{5aQ(DB&40bo(CcqK&Cw9|8o0HXl3Et{b2oz+_ zeoZzR6CmzGA0eZI07ag>k$Klp;Id=~CV3B2AEVjec=?<bD26mnMv}gQtjWLfP5Wa_ zwZZ?XgYd%8IOB>ZB)JtG3P$_<5KoI4#f%@LNX{~DUtNB1(NW{+5`V&0Mgv<UUM5p9 zLS)TR1VsmdLD&khRE2tfZg_izhy{Z&;de;;lM?;j@&im11|y6@!<teD*C1)QAw)wA zmk_LYfF=|KG8*Y&u&jZs&}3X~oSHyOZMuLK!_&eZoJ9n#_|xbYnA>90#ea~pjhAT; zPT9Iz<)5jPr1%j~Q9!VIk(|(qG8P>iI{4_*fwxEzMNX3QO%O<bD<bK#L}QoJiy8Qi z=*2ZASlJ!Ou1Jg8RL*Sr)pDWL!a{}6Ns4LPI9auQL{hiVN9fY4rG5ZW8h_HI^BlvB zt@X4Cp#_P7Ibu>{6Gz|J^p*_9qh)q5+3m&>TDrE*X0f17W->>R$<Q=z2T(5F2`925 zo6+cKzGz&I>l&YbXJEVAd<qF*nU-Rje)@&R%+ynr2PCDMhJX~(f*|d|n8BSHLZC!w zP#nRtO6mB6JjhH#rC32P^i-#?<uF9;E!sNEb=9;G4?#eiQA|iuGo2xEG5r@d-V(Yx zyPg)<e+foOvE@nFzllFBrfH)e3dT@FjOkQHFQS<d9b)c(J~5_+5^5H=P}Y80fZ`8S zkkk+aei&r0vq(iFmFVopgw~`d1(l~Dci$0#U?lVhv0$L1kQHwRe!qz(*qGN2LYjUw zQCLOlO$?{T@!Q`X1$~gldhy8uSU`yX#9he@x(QBo@&jthk3#1uGKMvt3;iHO&EjTT zVQIs93UQ}@2e1y<#WN?i=Tr$Ckddv8P41O%`v1AnHna(eq_hYG4-Gh-C-khs+5|W? zU@K@KGY60N5fDRw+p%Sio-J^-?!&?%By$EO;;|A7M_&za1a1nWKYSQxE`iKQpE9-e z?6edqsZ#3@@haTE!R)7l*lLb3IkaaQZHU1Nnp>5BR@zmx9u(bzj9D5wjKOD6cv1`P zsg5ZVV>Z5SAD#nWkusbuh+_>M#-w7cP$p1`5%)zAYdbH~vyPLrgqMbv@iklz^NWl+ zwGk&zEX;=AX}B3lq?8W!BJ=vYpb(Kfy9?`2Ffie63#>Ib-XSyzX$08Vj~OAjuQFEv zZwS|aZbS`wZW4)|eiAf>)I=AP{dluQn#A8AhQb@vvnf143WwCErRQP1gWjdEl)3ak zGA^d?M@_VZkl~ic#XP(9bRh;?Zx)aCbQ44>Aq#&Be<F)NWd<Z1#WV&ptr4x!A8b<A zrx9A#_&QQ3gZhMawp^o8t|IUBE?mT{^+)c1#fG+J)2_@w`?hB5O~2E^IP`o@cwdHb z#TK^{O1ei9ZL=Am*WQP;)N=MtgpeU!&<KPXLzdHL*&p$P=O0bx2zG{Xw_rPXHB2E} z&9FsZ_i%T#uiYrzJ^uMIKm7^}v7c?Y(jNANu(2PxzR$HgrrnN@U3iVy+3`)xiX$z5 zX{^h|i(BEWqpcoNiNW5R=ag`UGUMq#!czymez|EIW}J44UPwFr6Jb5*hJZ{k5ycrp zhe`>x+xn{AWsND(opsX6^3(-)dc%o6S6c0B_XxQsOdbP!ZNvTKEGh9q(RSs*H*f12 z#-~+qZ{&%we%n5Bslr*?j`2#nX{CjKGpGBUEbh>z-Q7;IE0eaZerIQbgvKwB_;Sk7 zmf_(od6-5ernAi;Oyf%)d-_~&X5$ccx9L9r^<v}h?W_0Ph}*pjKbG3xw@W0B9L3uN zy629GN&HEp4LK{BOt^jB?t5N(>B7wye(T!3AA9WDJ+Ha?yD#fLa$PF1_Csrb#q<k$ z_^H(IrC<1_e!BbN-@EzQCx)Ni_VDH3`PanxAG_e;#8+S3CZ7J>`SwK}uR8aF*Z)RX z51V=!7T0~$wr8N3ng5i?e0%S3`tRQGuiw4)oPF<p!{v|ey=~v`+<NQl@4oo4%WqqM zaP))sywtb-yPL!GE8n(W)@U1lj7|nR+?_AI^x)+ee)IB!e}3_8>o30Iy93>KzkRPe zH#6xb9{RiC^xofl>e{(G_TKfSbw7RKo9^BRAHMt-|7vg9``Irg)NR|u-V4s}c-^+` z=U@Ejzl8NLI>FWEqX{ts?cDyiiOdUo-SpG<JolduzWa)QdHv{1FTM1CA12?k|3T8p zJ2t<~I_I93oNd>w3e&HAd(~H(Ys0ICe)J=MF;FUX>~UPt+vdt-xG$L5cPOV#Y~u3V zh}l*MK3YqZ%VDHj$n>6a(jqlUI{{|J9y2Q(JD}z8n?Z(F;VqPW<-}XR(nMXsVrVFv z9X0PU9VZ8!yokxcAN|XJ;yl|#ZyT7mi?(*ym<No=?r!MhOkHz)o=dQ9(5Ousr%_`& zjcwbuZ6{xoG`4Nqwr$&1V}4(5dd|7`cmIBO_uZYHd1m%m%)E5xca`Y_heJYSQmV3S zqpK2Hg$sgs2Zj6_j-IuX<P#&qpGzXu!v~oj7O2IH29;;mhMh9OUOn{@(J~&Mp5PfU z*P(H~GGescvDg{?Jdpz?wabcjS*N)h)<5tPkXapCzCDD)oRW3Q0N@m;wv0E~ndiSQ z<r8LXGhskGbjoaQv8a+ZdHW`MS$7C%Jv^*)PAn%3#Aa)0Dv>q2)0)aSM}()Ji<5rj zAGlg`J(hcO+I{0a(9ktZ7IkoYub=aaX!;`}trqk||9m~X9N70F^Ni+U8?|Jp`S$qe zFlKqo4NB{$()GtK2aHvO1FH{ODB}8glf8!ew;|iV&%eyz1vqjqvJ!4x%bY`qvuwuB zXmC=xPCt#He5tE6I<G1cUz#(_VQ}mD3?Zv0yKx$1>Ct2Z?EuVqyEmW|zh(_9$?%tX z=lfGQ1E6Px1$x;Iw2HYYvcdsPYHcT-q9g4*rOtj_3nrT`0(c3t-Z;eKJPz>Imsr-I zu%aAVOab_ZlgRAMtfqUyJ2i&Tq_XK^q*on)*9V-7GfgHJTy6;hwG|={J7>h@mS?2$ zIiJDiv4w$rVf5pu`b-@jz)iyNRM-Ca1nzKwtPdtfAstr#z}NQLmBjFE9(31y!FX9( z*ImfVpf-mVz?eeE6mcBy>$7#!GZuNgLTMb13mYp3Y`sc!-zsfg<+bZOu73SBd%c)0 zxH~97HL~4?cwU^g=}}kr-DBO|8LdF;L?--X0&`9lVS<~#W&d}oB}&<}ENzOFB?|Wg zB}GjP#m4y|d?vBf6vZjoGHa&?Zw=_8cFco;Bey&Ua5Q6BoYkxmJR^TRm&|q_H(B*$ zZSm%|-CY&Fk08Az$-eKPnw?cH@bUaB8JS~SRp$xmEbKruL7Ng;VOF#Zcw>OabYj|m z+M8xf#|dfc7lapa1kL2AWHwW$_?z&x4Am=|`9hEImgqfhPct&q0+&_r*RV=^wpf7? zNC(Dx08sAj+@Zv;j`z(PdC6vLd2~5(q%iu*bo@p1Ce2Ch8@q@D?pD;Hr9#!Y;2(+h z2NA1V;l2=|l-qpRr$XDV$0rtkuz>r3PA~l}VWNmW_wS2(Ad+D^!5@r&7YD5c&$B*} zuduwMG1cRs@IOu5n1@l_u}NTmL<J9ruyYOoE^6=R3QNVG3b#w;f<Awy%r8U5xf52W z;K9ngYU3B0#~TgWNjR6Q6lt^LA>vOqjWCxyFpj3Ix19KWFlh#!xb?Cv@2ZdL>!mXd zXrJ)Bjg+g5&A=$1*lAZI#yC_*Ra#Id#A{@#FDu+1ri5gfE4xL<d`Bog96!aS0EROI zs82Y<aD2Mo;Nm~%=w7MQ8tgJhZW2D1`>e&3ZVc7COC)SkEPru?P}0?zUg%Q}Rl;?+ zs*hwR@8sxoWl}gMs&W?Nf)t=t_|FFs`o%c9Kd!LQX8R<~i&=LesN-{Zwb`@G4me*x zxz{XaTKjWy(Ba$x{^%Mh0fbK1qSgF>s$mGf{<?X#Rn;6kO7F4Z7YZ@3Va&Swde%ra z>s_3eY%<7srrm<)sAjj6O{i3qfiFUbD~K$Ivo!Jgq=<6)l!O;q7yQLFZ<eueejMQy zAzgK7p*=XHQVnrF4vLLP!4qJ*(v?eQaoxPJD0Sb*{ARW<B+-n-dG5?}U>wN-Lh|l; zJH1&ILlgAIiJxGHganC5Be^_!QRcp6LXxh)aj;>qYON57xeKZFII<OT_!0If7O;<2 z>!Q}g5gQOOr{st&&636=%w_s(5gb#2u^fKqPd(gKRE<TA8SIH-jr@*N{)UqYh3#)Y z&I>pyqEisC&xhkO9Pll$`8c8lpf|1+LF&xWL!$=w`xy^sU}$I!auSrDIYwa%xFAx0 zC)ul?6pN^H){uDx_9J)(q0b%uUS#W=z@*d17NlEakW`*?zskBL{mxEAWWLTKkyFVJ znC1@XjUqyqmr0|KL96-6y|lDJjGHg^6t~h8Lhy$8MU9a2Lb0pKD7NhmkTN%O#TJ?M zgNkt6B_+A`bPm2Y!H3l8x5VK&CK%8{;Uc>F#F=Sg&6SvAWI9@DxoxF`RSXlua_-$M zn*_b!x~eVz3mESP+zv}ZBq;*4H7Mo>zJgJ`9f^C9JrT`s0fjic&k5fvm=3}+rUHIT z^XNYck|YOKT`vf@nO-v>0Y-^6e#2_i1ohi8%Q^oX_lNy~9ly+f+N~<DkPG<k-oQyJ zc%W$~DD%MoGqWo~C5MgmY$q{7suf?lbkvXA$yfYQWWyuKaOQ@~&o+;o;jG|KAFR)L zLxNnS;K3YW=koVkJ}p&CvjB1#6;0S0-R@71h#!~v)^_U1pB~_3%mMvqm{K_4b?vc! z$tg@ONoWGPCT9er1h)9x;ab|G#=Hfozvfi}&Vz(4zMuu)7r?mufeoRRA_>h<_jK-% z26vUc6OS9ez_3=lq=#2)YeXc^jmjBx$fx^M)djwc5d`|P#p<^E7&y=p*@Wh=6Vv<0 z9-N5JA7!&}In%PBIuOt+<!2}6n%wQTDI_oppfbdKe!@Y1@7Qb<!;co*m#yt>38Pr} zL?cep8fH_0wwMS9AH+br4<Rf!+ukEVOVYTyn)<!)2;Rw`@aOr<mqrGanAY^KBIHKr zp)Oc?S}QJ{h?>8DurM<aY02*<Tw3jWMmN9aY({6VQKi>@vnm3-5<spj$A=4yTdPv+ zF(z}7>96gTFn7LX_P)3jF!k4|R}Z-z!+pk!(0rWO5s@+!R_V3z_pw^~8st<El7iXX zkpeX%Cv3q`R7Nxo&H_s}d9h221k*#wn7HhZ&D@gUcRVUBvUg=g!$Do)8IYKHJSDOA zTY=gkASW`!KcERP?V!Ve+O#{dI&@|&FpH)M{V5P8N0+VbHDjyam6eB`w7<a{*Mp{Y zgvMg|eG=;czL;%~JIDm(Rfz)CP}gHBW6h2?`gHIW*NK@|DOeZfl~apzr)6q0h$Kez zz@?_n(oGiJ6M{p1_U`u?d{c-E!D1_djGwOX``5U^{vU1t;SKm2D=*>!$JmhmOsb$c zYi&{8u%JMnqOP_nl|IiQ-1foVqT`z<q?CML*gouw<P_F2<h3DaR-32pYPMVj1b%dZ zIbB|;Vjr@!0W$mp3adG;xLJdjPM`ra3;B@TqAlLa8T?}b2QtL0%NzpS&5%NVM9@ja zYlqzp^=l%)_m<mKl+b=`q3=W)8n5k1Z|F0`qm;`xt)|D`qN>eQHit3V@{}*n=hZnV zcX6QK5m(Eot)qLkJWm@lX9&Rk3)c;AyQKin#hCWNt@m@l!=GooGteBg&yzsc+q3_X z?fYq%D*`WY`+h_B=@jB&iHB@6?rFcLjIP;Z>d+CuvQ^7!e?ap6(V!5e?9y})Hv@K7 z9NI;E9U`N=Ez&9Wd-Ln*<vp~kAQ^C4+=4BmYiLuSOpFZIR|cko;kEO1))B*Y^Ut^^ z%a$|!J=|Kk=zQ>VkZl$h7nj{q-#5^oAnc~Mx8>(GQ-n=-(A>ApWpBw--%I9@+baK$ zL#SSW4HDcVD^OmC_DR{yyBL|1ClbmWu+ap&wlqlOc_dGbpX_W@awkwd&9>es?*w5w zT=8wSkOKT6r*~Xg657)mrY6w9(2ONx%_{FrJEi)2&ZYbxPNtJImU~IjGd&ZqG^(!# zdf4yLW<#Z7vt22+-!dRwnC>MWv*!9)7edzno53>lG-*zRGKr`8qI$AL(rMXWG^Xvs z&$TW~%lg5ZJ-P0%bjd=PNS%jf)!+ej`29Ow$*hJ;b^2--F11S&6ULJJWCLRKF7i`j z2Ip`tVk8t*P4q4a`JpjK<y~c63_Gm@?bf89^(()1*kA_Xwo6<)BD~%90`G$xQ4%l! z0ryd+&}aA&8x++D$ev&?2f^28{?75!isJ6~O{OgcV#iPxM&GxuxvLvyU@MoagU;!> z###SNed*d9etZ-?K#IgissvEi{uE!`nxPY2W;HEzfimuwA_KyE1+}q8FtY<Bb!~>5 z%c2UZ2pK`EI9!U*hHs7mHJw9Js<8$DWYuHBxUrExXW!H#$|QR3((~8K<H#Jzq6~$X zK3+H=n+PD&4MfZmz0`gZ-<~fKA`C)M6~l&3x-Wgv<oDE`-Lx0YnR+l3IA7);+at$o zh31rSZQgAWXe8>$&q``&GVIzSyiIH}Vy@U2HL;&S6#W@c{IQDAh7>x!YHq;>6i(Wo zDp3afNj~YX^wfn`HT1W<i@PYyD(4W%Izrx!fw*I26uipRvR69G3-A$`F#y$t&Fs#k z$q4)a=2uHnJmiS-jvUBjqCWc=ByK#z@vD6A5ZZNs28pl7q{Q{0BBk}2p4o~o%LeL1 zJP#g|MWy)U_Pa)=`FtF0unkEDBniLmo?d}jTo%TyK(9k*&&mG2PH@_-8<O8^8GO&d zKPJ;3MKe4*WyWpq^57bx1@}EQtXQ+$1kwn!q#MJ6h1cg$I0W(+uqX*If@I4J<l$fE z-{K2@6eQ#j0u6|6XsV{(PV3q7pU7ZFIZmtU*kqSdehpxx{GwsO(b*>ijGAuEE9%_u zG<*4mVTC^Cl8~O#g=kIZv2L|whhwgzCzeX)j%;eHs#D3h&7~!Fj+*8QghB-pnz}z| z^Rn1h^gb^b2cVA=$4ZF4{UHpq`;1|tliE}Cr3yj1CX=?~NzvZNTS;8Ro#F!el@;cB z8hHinqU7C^s)416v7CPJWB*lBl!fhS)MF}M<E-QBK51e*0-R$b+TnP>=a1JZF6S7% z)FDLA#|sO1H=I5()C>D_leo0PVAt=YPA~kvIBO8O`0&#tdd{+DM#sf^7{p<a=n_iA zt-a}G*iXQGX6f-qgqL~#3=#eI+o1Lr=uVC>4*1>8$N@?w4~HO-0%Rr09UX%dNgFay zgjOzy-n=H6X(UW=cW?0Zp@2oD%A#^Oc55(o%!0k}^3DB=#X;84!Q`PsimAUaFIL-I zbj;nI!s2o4baDLqR}^>}@!lUv@@G)E02x-Wt1a|0DA<7XFdr-iP_GZErBx_hEIl1c z*uJz?f?%>PS_~Ri!=c|m=@YP)>FCxAP%RtUJbwe+%b9PSmd}fL6N}iQM*c>oi~6}j z$dv8^e^CL&uSPhCdXnvKI!etKRv5yTfDl#9plt<CXigM3bEp)2<?gOz2Ma_3WXx+i z1duMIP1MF+d3e;6qJFOI^~*)le>!RMFH`U9x)!Ypln2xYpzSVMN5EC$00KxW(xH@! z&9`XK2ovd-*X@~=GHIn3+(by8hmp5tJ)xY+#;AXZixDUhc#bRkii*E07JbJz6OpSa zxqitGo$H_35Pij_OOYps<xorpq%-T}L6t5{Bnqg8>E_sPdP}O=D?n)P&~PxB-E7D) zC->aQE-ua7a9He%0hGg8z!QUgdK)ET;p9sNo+J=1z1<irtHOSMgQC)WE;VD#vZqEb zUZRQl1==-foz#d&KcQ?X)%(;J)Z#VQFQ!tEoPfP>+m%>*i50Np*)#V95CC@ANxUuC zIswI1R6?a=US}2;H@j>g-DT3kf*+Ei`uy^I&&1`6Vw<l<6?hnaZgg$jK%Insq~2)q z$0n=2&QX+B=@TI+=<Rw+kq*LWlIF<b<|!3<beo(sKM#zpT?*5OK8q=L(#ZzH{m5oV zr(_kP&8@3b3Z8(-s-VsSP@$YgmvX?u^L=>4HY<jMZB-85K>&YRoC^&6H6JflV(@WM zvnST-WgVZV>e9nsv2tZGU#;AvDNv^T4aI0;MCI4^>7;O3zQE)i2G*lU`f@JK&os=u z2+T%_2+k_0y}oa)shT&R->S0{Y*_1u)CD6hJ)T{rHamU*A<n9E0I<hFHa+Koj6Xxn zM8Q_CNo`E-XT7_=2&VkB%0$6!QnX?w4ocfTx#)-2+S@1(iN#<)Ienya0DC@fDlJ+h zbJ0vpU3YA;p1RXcM%=W)e$<V?vL!=B7R_)n#S*U7<EXeEF1|HD$y-bKxlSVz_0=?E z-m*<dL81sih^Jcx0Z6mc-#!iBSzc&!%fgK~-f#NW;iUO!eN>M(itAOm7dk}S`KJNn zeBJZ9psGR@Bgd=P^J1_ur_Qh#<tn{8f~JXxgWc^oX~}tO(Qz^7s^h5TvLnj+gGj^P zY;fHUJ%c+q^{azg5_-3UX0;B_lVKUbO5H+6dO@_C8etxR06<4?bY6Lpeg3iqy}4v| z2nq_gM%!IZDR?c!V&HOTgw5FIr=yDf9oyNrK|b3kz8bdoe1t9C<uzx0s#DsE``tNQ zMr0hLHBQ`Y&*&!yX2v)_S;U!B$*MMhy)4Npvfo!8t1WiKHCD#qB}Z!#gz3H34&r#F zc#F3`C*!un0vOlIwW7KhB?{ZZ_IXSGNe{YO!$fg!?w09wPNu=c?$T^4jU=WLng_iZ zD5L7W=w3bX>ZK4?Gf<p>bF#g@7Qg_q;&ylKb4oVUE>SDR8FQCb=je1tq&>vXT(pAc z!G=aczP9-I9Lwz$%rzXlUC!S|993&6+N?GxF^@Ti1NgoXLc|~QEcZjMn!i~P_KQu4 z$#OZ@zIaO(peQ0R@p}x197RfIaNIrZMPwabUy$uq7R-(q4IR~ye*ylM$fu2aamRTT z7sxSR*m^P(Q({;G*(3}LhuqNZ*W+4oO#mHwT$H=$>{%mek_E#)H$FcbYouQgniioC zXVJzm1F(?qy9jh*`C#9({-^+>VuL9&y;2<*3ndyB%EnH5qyA_&z$Q^yp7g!(Xdkb9 zRyEg_Y8Dj9moOZCraT~A)Y6e@*Z5vrnylI2bi1b!Kk*i>>J(g&9)y0@#IZ_=zf7yg zlh>Mwa71RylV53bs$27A>B6t9p3sNQ#MR(x8Zeve&?(V!?4$i}JMo$gMg{hTVN6-l z=7nQ@(AI|M7K7z&O)2wKtkxa4%5>B7&(F$Sdt&qN2)5#xPdV#vD7m+SZdXdksw0YG ziXy#ACG|RJ2ip8a@+EdD)T$2A0tY9V!I2=m@>J<pv58z7<anA<QxYS^nkuD=H$W>! zsR*4wemw@k+(E3d<Z9#T;lV6UrKni9CQ57KxQnLUR-;iuMO}8TkKetS7!GJ5?%UO) z=C=wBV?k*qH|~~>MF$NMGR<qRxzbVUF|YR1D{_pyN#n~2jJ#yDbkik;r4HYU)Wp`% ziNqq{nONRRxz5cMGL83;Rs6(>#0RhS^F9^}RV;wT<ymt!l|^N_S%4z|0H1dMK5aKU zh%&ods@A1xjssty)zQ^*2VWFv&1;@Kd?J<HO?j$@y<v2=_>np2E1Uc8Uo1ZF-$P1g z+B|YadJ;o-(1bK4e`<-OsGHElyO+%BRj3$tbkAZz8)96x{o&0t8P&}y#0J=IoJwNJ zuBnZJgmUrlbkrBrP7kt5-7|uvB{w8a$?O+L6mIu#l0Tv7flJ|MLi#cLLqs&$Y(PX5 zSyPiNG7r9JFI9k2d$c2Fa+Eet2j^H4k*_`?pSZzd)FO=+N0zjN+GeQpOi{fNT^Io} z)`_yu(5OhuPR=o=;_0!kBLMJp_j8R;AK@MtX*D&ho9u#SMX%(8U)d&?Oq|!u_)Mw= zVnWnQpH%N32^VtJJZ^dw;_v^`RaIUK<7|w?)hChD4R;T*W%VoWm<h9gSul<n8(N=2 zgxhlFD31f8XO<MM*fl~oS;fywlQaUFY-5Em^MD9Cr*glt5-ZAn3j1IABhO7_yT5<0 z^+KWt{c=6n-EVtpDfL3)xY9s~JQTfqAocMykLJ5gK!8($SJ6X?Ysj}Q3q-F83`=bo zJ*vE|bYq)Tuf1At$V{^EPE0qXhMoRHl4h||xMfc1P86cac*vqaU!<Spdjs|Hl9~?q z$D>-(6K?KvF&<+^&!>==Neg?H!P+-h>kD#4=)p?qtYxR;T+wv<qMAwZE1mh1R$=`o znz{p9uQ#nW)S)z2cL&N9S$k&g8DmQp>;Z`~$5J8M%OK(fx6j-gZmU1(4;0=W@;o|S zpkl4tM+&$J$H_c|$=>C@ul&+p16+j%>^SWZzV$R_qJBAQi6u5$w=meh;Z16Z#nQ6W zrb1wBUBm%z;p>dX^ZwCNkR`U*`<_&#w$|WoN&;PAcdfct>yi}S4PcS_1xxR104`Hg zr2g2d%A5vKL`M+46v@&9su42apK9Is5?cKUMJ)vKrURl%TOyqHdf`z05#VO+Ks^Ad z!UMKphqCBhwUeJ-%?Ku`8mUP?$Z5)tgl_ixmkn`DmdOS%qUa$078a5>u=tswA*kF_ z^`e$~1oA@{&5*!6OxNPs;XT1vl(*2L)mSx$oV%8+5Yl}<npM(Ocee70#F=FSBlidO zF=YllTJ4#$8>@ro$5Z40#s(Kqj|W_AlT%Zt1pbZzjI<jvk-``K9B^}DyjqW@NwfOC z4-V}Zh|N+4D*otS^uJ~PHG*HT^CI0P(&b{MAXMQHOXr7+C<*jXnvX1&4EIU80m`0+ zc_(=wGa0m9RvuI|tAs6dw9s<3ZiBHYs^&~)MrnYLpO4OpGWZLqMPfe%9Zfq)&Gz+7 zT9@CaPx>BRtE^r5aR`-Csm8$fepGebtcDP)e7m$|pj2-BW}%S#KHMb&A~}SV236Be z*mzm@r!N$K<?B$YqiN46q@O0LwsvWt+EtY$qCrdm?7Zik8p)8dW~q<^DvH_&<aw*@ zPb$2ohr>~MoH-b<1+m;%rn5`h>)IgL{;W@1Uxsvt)&>5C#b|&U{Oxzp*0$`nq*ykF zv3l-DereYS;3%?+seG7+#l2!#Kz7#vL+zSo#MHA(!|q<MG8rj*nFsVweQdZ&`g}}H z()I_F*{l|G&Mrk|Q&ZaHxg`f0pT3dDxH(mL+LRB)Nf6e{;db_;TUl5y_BaSo%OcNb z-#eVau&>}i8wNyC2cv2Uvv;dgv@22GDaT&|gXHp6u+^2vD;BxDTF{LZb#rRsAAY~n zB}Pzfk-ziT|6$XxOGv7ssW+gt{<e_F&ky&vFurnFnefWI!n_|NO^KvEsq|NH%dQ$d z=P7#MfCsQ#+2xro4e5>>0pdx@{1WR`N&e17jT#&j(c(BhNm<6$Fp@pIh`P(Z*0WQY zUf6#WKpI(2x)8(f$j<Qi@tt|1mJLGLOIHg=-hb_^l0R73f;8JAv7Ea3@*-i6EiftO z28s<}H;80rw4+C4e&|bMgxtC~-$81oQ%FVDZBtwNA7X`V$hBwIR802k<DN>Phq9({ zm0QQH{BB@yIUr$6FqB|43n-%52-Q*%`|)LJr=napv?QJf>&J^=n+(?xm%6E+!8O#_ z*E%ys_pmzHI?G-gdH=-{(o@8u{D^P?>4^N4q4H?)V~)Ja>svRwf53b@oRt3Rls2t< z$GbG7KfRt1Or61GC)hblQ!S7LotVBVi#j<sw7b+OK`heXFLJQ}^kQI=Q|4$gzRX(* zZ#j9^v6tW7ttDzhEZXR}C~NG)2|w!A2fSD1t10h(;ok8RB=}H;O0YHo(#)UBd?xAn z@qA9ss`I*(9obWJUqbu&vn8lbtSamVGcyy*7P5G_KhxQlILMFHRTp{HnBPR|PwecD zFK^)ck~YX`oTjki2YG@(VN7qLv|z3A3fok7fqrG>de*)PjOyN|)+g$rf2EBI=uQ#i z%bU4t(h4U_l9ZOw<VKY!>@qe~BO4Pz(?a^N^?&U+y8*I(u!0`6{Ez+ruWeIP`o{mU zItlI+rpfOd@57}!l|&;lmxaM_R3t)amobIlRtkdu>kI$GjgNX}n7$&Hbb02vpj1j# zF(rVVvTXCE>B62NF19ym$jh0vSH}s}a8{j}r^&3v>dUyn*pg}*^2zZ{Msb%=v-%Wf z?O?sS)XW^o>Emsc>u2rH&zHhyWGVwRq*P#~asv{(&PTj-d1k)y@9mJ1D0(La6i4+* zv%_X6(kS;!^Th(Weq|oL%2TRQM{0iuhyQnW8$RyQhU6>J<c`Uh7%zIP=<mZV@cnuf zhmThK{Z~rNfOIg(_8Te+bTfAsU$;mT@Bzr&S32||n(a#G9{q?T`-&A|%L6A7!AU8A z^0<%D&KQ$&0EExy6A3;liLaf73b7J9#d0*xrSa|L?ZPXl2g{766=SX%Dth)J7g=-< z87-H?wJo!AB*-nx@htP)E;)WQrG{a(>Q+MOfH}xRq^mA9$3&VRHCPjsbc&7<>d}9@ zB>_+qha~(0r`%7M3#yzQEK#uitjiP!XW$H31ysQ8rP8i<x>p8Pl+*`Pq}m>TyWo)2 zaCOOm4IQAWjHN~|J-4YIo%w1xRX8KeF@sF?)B(4157l%(pSJrou_}Mg>>U=EqfJ)J z+@)jc_hDpe2WIdVQ-v-gW|*B_4?om-yk#PCT<Y%2YtrWk(b=n<>ycxg%<j0Ji+^2X zFqTMnT9K0Y;_8zB1<Vhvk;C&{<n@?W@_9x1xCe#!S1Yo)e2<qKgdU5n=MKvHa*~j) zptt}UvU~|sj2uCeVlzIG2Ai{kH<<B(i4&Dd`2<;x{DLEF@(jMm8ac&XYK>4$MV5%6 z4KNoWEMZ*-hO4f$S*_*EsX|9cqCjVs@K^DSZnbuP@K&!@^lx%BleD^~mfD*m2&9OL z;H4)n6>V)N)NBx>cB?SO=t)`>T@x$l82xxF48A19*%p*#EF~7|>axmV2h9V-*_1|? zJgNkK{wYcJ4^XTC1MozRU2w_J+g8bTsx=gCz3=y|^R0<S3aTRzS>=-H0cA+VuDFJu zpQWoPDDy{v=wS|wlW@W!12-U!gA4bY4~feII`a=Mwi_Ia<ayB+xhuPzuTPb7hC@Fu zQrIp~=+>+!3fC74oGFc2pw5LS`h3D!MpTSnFGrK%qbZ|%Y0_;kFYQav2Oo>=ZpC9( zpi!30P5o^#5^Ovv1U`0lcjDQKggZYUnED%wB4e&e{sw9jEb#`)22c$NneJfx<ZGNa z8iEm^EUm~~OCAT6UdFS+my4FzUzyXXY+Nd`810@x2$A7Tihqs1P$Rs;H2q1Y78you ztT@(sibXC3ojF^0g?jl{;g~sx|K~C_!f8+*^3~`=^FiqqbYcW0nGUK7v-HAnt`wGC z<tq>h*&g|?)LR9p+Moamf93cIWf+Cc(son5OmKm6*kJ)y3H*ddl^b`6mK*GnOmZq+ z(&#UYVgpQ(!Kz5Q-lsCehtWGKut6PY!ow;?z3%@DL~59jqmu!2*lL~J&cTUO*^SfA zXO?kc0ZJWlgLyad02q?Lz|R?9Hgoy<iuD<Tzr2T1)N(f0DtDz8APzJCN{#+EWR?)^ z69kwAIWVsl)nze#==zvOyfl;MgAN_6Z>{6b7*t}F3~uhh&te*<g}~K)H47vdrRFF{ zKsAi9ed0f{oiJ(jg9s%bjR-|p?1_QWUHyUjPNx1JJXy_%=507g4&7WBWr9S1S!mw~ zJk5HAh1`R2%%4d}A1+TxLkyp{g8Pbmv|US0-YMi~s{-{Y9g@!S-rH4xu>?u0M2G#K zg~6^01I0X!;1?=VYP=cH=FJYy=)dSZ7RMJ*0Oey`CPHiDNnHmrvLD-CPpW=_k_3?8 z%dKHGkD{5gcps#ng`O<yCOzGtM^+Mrza(j1P|a6eyj$kxqTSp%mbVkvg&>E;t=@*x zwM7n5$<z_9Z$7FBG4H95z_oox02vIhETt~xoAJ$Wofe94TFh_hxx;Sg2}m?9TifJX z1mw4FYYi*AoNJQHpY1{au=dVOCt~{-{HY0jjXk)}<-(Q^J#H&ieULs*k7kjAPdvn# zy%cx#m{g=%((BWVDA5QAGv1G4kQkFCG2B3r0{G$}6Gzs!9V*P;6`1M+M;py-)a&=> zPIP6YHEw}WV)eyfG^2$E5WOkAwYmL_5#Kcn?=AEj1U8h$YK3_VRH<?go8glds8=0& z4*GKrBKE$HAx|3%`ga>MvNmg>v1;SOYY!$ws3ZVQxxhMvAUP`4a{ZdWe>jFo7;uXa z7!7v2XJoYgfus-n;Q@>#@i}4Y&38#zcAf-MqG=LX7ti|57JFcv2_NKv=pW78J}_2} z)<QM-(FGk=C7L3(c&ud_fqZ5cJ+?~DjVTSzfQpRmdXv&QV(ljTziNouOk8(CF60;u zRQ+V3ui{J-gs)UeC7TMG@zNaww3%KbgS1~7YziWfS!aBH+|&J7EOgMhw^AILD({!f zpNrJxuB&#i{4ggya8n$x&dhXs%5T_SD#yhGI>$OKiCk*`L{%KrlUCqVCL3FCp`<@} z>Xe5nO#gGratYnY6_UjoL8*jCs_|9V%)W*MNjZOgo?J@zTS-%b@z?-UTBla#LN8_f z61O(Z3|yGz>D7toSlA0juPT41qe0H^h(T5I>Y4MyB1><u+oG-sx`qS-w9&PAYh!{U z_NoHVqD_5!aTh;M2VhpO_j6oBv0uViO~2K_FdC8~*vxhvRqjKBa&51goaXKU(ZWOR zfg(mF80=pi5SGWkglrE~Sv1;<3|Pak1V=-sQ8BN3U8RgMl~WSz8z8(k=LN(t9$KY* zC<`UEp(W$eU~HAQyWxpOtVKbUs1+>aq|piNeAlqUA7@EjN!plyT_+m^%zRh;44?XA zKV~<nl|e@s`d_pt@Dlu(vV&ZgfTucL6g27^(YcRTCvp$czl4bH<$)aB6Jags)l<^h z%$`w#X&u5}`p|{`1rGT(fww}<JU?NOS!!{YSbe%9!L^y!ye{n&qkaQfnRcxdgCxd$ zRbplN&&vFluStwpLS>+vaH0WtlfOX60O)?7+HCtJ9;+45A|G{Ga&nO|nR4lVe#zw! zWQ@s84HXdq*{d))s}c1haK@0Yy}l0W3nu*{_)R64Bzs3)*<x>kh(N5dQ5aaCw)@T~ z;~@bxL4@0P@AZyj{pf3mX!@lsS0JJ#F~PWL$yLaB_~~fz=;(Oqcxm`4uSqMR%-4Q) zGMP8|6!nCJ7}%tmHz-tQN+SB5+yMq4UWc<3ku>5jKB4XXv$QV;RkT02t2fr!?Tw!G z9l<VFhDo*R*#eh3*9MtpA(QNhpt%9X8GKa59bpW(ZqS2Ft&2*)+1_hNi_@z=WJ9iP zMH*wYU+eCz*}v%|f~xj0WmYp6cJe`3W~xAkY_sU5_GVv08uZye`Ahx9YHYd6E;YJ= zpb|Wabwlxia0E#Y%sig;9=nO}w@A{)7h|VU#|}yfuHH%&dCs?+GL*fG&#{o9I6F{D z_N37KwAqZ{ePNy9XXog7^3I#=nhm0F{MlIG%ryRK<jsAiq>O8VB6V36L_-gVI)QLW z`tMM506rA**Y?=j7c_aB05o<DA=Zb2-bSlIt0nA}eUYijpR2N5dQ=F*jS)28iX$lx zOV%zLxkitITVW?e+;U5wA<xGYtXIOjD_LttB6NDZPA&{lt+N`r29;P3{+3PO+hme8 zGZ{ZhHWl(Yk8=z+U(`<W=8;ebh7R^IbP_^DH~S{|Li6E#k@zccPI`1o7FU}G4I}8r zG|t`d1D^@_<l!U&GH3y{RO#&VThy`BBwXNcP{*7xr$Co%x6ZohnD;=qKp<$RYj`@V zl_RgO1i1SNypF7z<MKINF`y1wg=kf<{(#E|^QB2^@~2aA$G@!zGnBm3QLG`oB*_Dz z=t<C%_#P7KS7@APj?*i~e)<s5<NAh=2@ROLj5%?eXx3#I)#ZHtN6Y}d9$2ZTw-_F@ zH6GbZY|_t~TQ*bCnngdcid9B+M$N_oW3+9J;;=jXo_7FTA~O%>V}?@BikYn&He>9U z(zm}&2GiF+HlP(mG+F#>&OY)n<f+o@Vm-d0tQFB5-Nh8yG_5&Add_j>q%e?WQTU2y z@f!HmU)&lQnmTB1%^$(+L%V~-5eQO~>`kDtlA=kWsp0n#kN4}R>Yi7*IE!qPV$7lW z#eBJCyM25NGOZx$?k^r-4_w%C2uvD~*m(Ym<$lx<rKmT^J`bim(vxRTDduLmzvRz_ zvf=A<h<okC$YRqP*~beZvM>lglXe)P>9`1BjdaD+HWV;|Cs$gz_FNUfv9nV8YYW5P zBm)%=J_v7#)$_#I9ds35kG$&BU%TgfILGa}RwAfCJMyF+aA*NQvq-m2YdqQ7-rw$| z?h|E|k6aTrH(>a!)MDoSCouP`zW>yxUQBV|GSunh_hd;FJJMk@{#n{;ZrH6AH&L3G z)*aERiVjQetdjJ{k-sua;Oua3^eYZ9MkXH1Aufm2`##*Gm7N^vG~9p^X~s?Y^_kec z7XD)5V^Ef{?1M{?=uJs6zR)3nX+&^I$A~`cd#=JsEkUfyc5=d3_s~Ww&&D<DmBp+W zueEK(H7w-0@IV<>#9yBv@#P47UDR^wYAZ({GKy?@WoHsEp3Yq~8}Chtx0s$V%Lo`I z%#NKPz+m`3fukX_fg0g53ba3)Bf)}SBD1;YH!k~YO+90oV;JAhEs7x~DFC6EjP#<A znps}25h`I*y|3<Iz{p_MAHI>oI@-wti67wWuTxRxb~sZ}zQpuHF8;7j>NjfY`0o5O z&V*sv&9iQo01JjMy9e^^u;1mZEe%C-MU1p<K;SlttcXWF@%N%FsF@TKjpeV3V*wsM zfdSs>E`8-0h5mN%pAmfmg9*p4e&8gc#4UrX-EE+=%slTMp}uOnkJIMMi^tX7tr;RA zZ?lr75Q7t5S@sZNX}Q*<=e>Jl2t|3p*{ew`9GBd^c&wr^6-FV2$GqC3uJh@r`RU%( zLuu4s=d>`3je6^aaLS=+vkAby`omzVPiwVJ_9K07nz3<%T|{W<Z!d5NrrW<UcDRls zX=`uo9I_F&27ZDx5!{~FG@X-$?|5Q<>Ob&;HOh=lu~n0x>2iuhf+TsREz5G*T4omu zDw&_iYO)+0HRfSUG(`-*NE3k>kLd2Kwp;SipD+k*<kf8K*#9{04sdr^0NuP$t#`WQ zRhR0jp$wG3obOEaMtDbEyzccXmJZ1sLT9%#yi%e@wT&dYYVO8vT&}^f*H+k$u^-l1 zZjx^*&kau3yM@LHp@3haBtKZ)*FT&dMsa?#r-lHG?krgIj=tw~aq=9?v+U8B1@P&E z`xcmwsocKxBWJaZ0wM`yw``h>jY--z5^3&{ayydh4v<K1izyk%Boc51Gdn*3f(7y8 zqcsr8!E9lrc7Y3{W<Fi)xA9PPk!{mjZEfsFi9`-UNc@j!<I@uWhhE@kS6x-$r;@`M z5Y^?u6Z&D@Qm_v#&)!se?~L4Hyx&Y(I_X7Wxpl=GVhdQlj=^@xu%1hbV~7YQU?$b% zodZA-<yB#RCR_$tt<W>MjDAy9@;r08bI&KklX#O;5eg`(Dx)b78Ck8yZG3(404fm% zX>^HE8rlxA?!PB`29{c3drGb1;|gm0DBxWV`RJbahFpND5>g>-UuVu-fZWA<_8f#- z_cjFDC}1)P@}t|?Cy?U~%yFLlb3{6CPvfY`>Fnxn?6!9>Kz32p>i50R_lI1m&rW#b z#hP=nu~iXgi^q|3Iq=|fh~#bsfcM^BE?~A1y*fzBJJxfHbFgX#H?KBW+TpZJ4KA!C zVS(eiv=-g@6xx|%i1ou@$$VQMb})TppFcwZP>4B5>Gb?YjUWZAUDUgTx{mrk>kw>% z`-Xc<;pPPGIz4k5Otnh+b!U=A*8p)lDn6y)&oH=y#f>QSj*O@3AISa?VP%N8jd+y0 zECC+_nTI2Fz9Bh#=AQ2F41TQxvBMm4EQWJ0*h!V~@@lc_M{E?)$R4{G)Rn$gt?C{E zx)A`3bs>Q(h=1a2q$(e#ZM%RBWM8q;F1i?+rr%obwPc4B!^waxWt?5F>jx18RoqeM z^$(5jrN9-?>y32Q8OD+JA1Z|IhodsP(jQiU#yat}0oqj=sme%D;)RESx>sQ=O%axG z_1dk`hihsC9-JIC96gU&;_dNvTyX&IW*AEESxy+f`k2n&=-ohn9{q@O@?es2N6P)M zPOpX^4_vs7;w)?U;Cv?4ZdM(~MSm!LJNUlhz3LFjKJgh=Xo-#lW6@KV)@(mhLodK; zOvSM>*_2n<(}}Xewo7Lt{m#3TZN))hW9s~YtHoBWS?|i(x}`c#h+)d7_F)4sl^Ftj z2^)iA5!s3yNwijM<Cbl__%fVRVEA84>TO$Bs%xqoWV^DjI8r;=^to0|M<Ya9a-aV} zRK(&KzU-;O29|=L+Zod!KI>s=wRy>)Hl~YNLXjF!ES%HFX;%udXHK)#1H<x~saxCC z22i#){>DdGd%cMY*nFXbe!&SgpB8!j9zN5LpNM>HCsnx7pY044x1pni{;}0>co$KA zCPLbBG-PgWb|&I}(g3Vb6SGWnVOQ=*C@yu;)Mj<i_3X~7w-voGusXkeOLM8<HNz{V zzodJ>tMu0F5~avDkho|6o)@Ao2e2FeXq|r9pLXp+*tiYAOSA^sNbHi_!U&ls2fx~Q zyaKeygGnQeJ8<%78{JT7B`|g%S|1ijImACEbcmZqc0DsUy^YBqk!C3qyME1B%V<3E zrke9GYxS06>~6gm{k>Oo=CKu<7<0(iE&JH=E;+}jpboCD?ym*RD{@el`_(-US<AB- zr0AI$;hzW4Wl8ffnU!S1^lhbDP*0A%31E1cVNdn?HfQ0+%Ty5rwc_c3C4RE@nXGE$ zYAxn`G;I9U_ZxA~<T1A#dW7!cCtx8`a8nGs$bstgV}-7=Z(OOC5pMdI=O|Yt+RMuG z9rvnQOPoaDz8d2Y!PDFWjcsWjZ#tVj2%Z$etZWVd)DQoKA~j|y^049f^R!rumFPj+ z2Z_@OO9uZ=5Jex5$AG4IsQfwxWBQyE4pmC<56Jz1JOlylXZfq%d-D?`>NqQ8hQvS8 z|IExZ|A5MHo!@hP&@q<CpL^PKQXT1QHc1un3eReO&&$eKuD1{LkU=MKhv&xA%Dk8k z2Rt2kGxZsC0IV&3?xt{s?&}e%J8)f-Ju;h)6-~6fkGA4*WIiRd&~6ux?u`sSgX88h zN`iwxy6MKQcg&f5OG$BSou}bT4n_C40KzhgtLNpTgqO><gjRX{KSz!8wQpXi-lG(M zl1DQ=7E%tDO21G{Va(rm(Uyt#(kpX@0J4vWWX_hPNABMyrV`NVn!G^3oR=J41xj-I z^n}dQcI~@fsf~G6S=|mGchxKGbIjp{ZRg>@dnVm%P?pcc*~<zKV@8x&w6&1Jk*FvW zyLtn%*zyhEAu%8>&Og}CXY>8Y=KN$B@1BS~{Ptn0KvO%m{e7swOmYxwzFc<};LY!B zYu%qZ=GQo(cpDe(N6hL#cxd8$b_9h;wf>SNN}jqbWi#oKww|2qLc~h*?F_zHJL+}& z>1t`utx^XV+aa12I56ll^>NvyEbEBQocI2M2vu5_^cxCp95%|F&A$7QJ(vDFiq*um zvOAA4;zk8p<s2L|?o|6a9Jq50U>O62ljUc~EiNA~<<u2M23^14$qP+g9_uUdkci7R z1^l;W`X!w<?8f=f&7AoL#S~;^xoJ@0y<aG8aexPSpIL_2u|T^@_+f?9SmeTXJvnE^ zLo8gz5C5+_3f>SfaLu&2iro>#$JY5&?;897SJTLi0i5|tG}Lqb5xQbF09^yJ7EtaR z>HOo9J!6XZ(jZmo7v5^E4?l|&BJ3ox0>9MICudF3u;BRMW-wR}LQ-_#v2})Mp-`9f z8KbvlQw_S~u7t7~!j%;&?kumkn;5{|00`%`T0~7Kv&Z8m;Yext&dueVRI!>zoW$D( z;nzN{-NdzkJ{?+}a`mkMrh_1^MnGp?O=~W1y;1ileS(g4g=?o`+cWYc(dVIQdDHS$ z@9k|h--LZg!pq)r(2FR!S~$!ZVyy@&=wI3wI?2yu^N%e~-yl?pn)VPlHMO5Nmn|AJ z-;2hhHe*^+LCXu>7tMI5T+Q`pff*PTPN4P%U2e0cHX>J@6Pa?r9#O~5_M)KXP6BjS z``q)bj4W{2?Lwpp)FdLx%5N9N{m}VHaldScl5C)W0(O{BTCLb@EK~SPHIBkj0c9C> z-G;L2!gT?u#Yra1(4Ngb%Z}|y>G)f*-9d@Ab5lA_C-cH~`nGqIBY`PY`>n=?(-im7 z9rsA8lWy&JuqZ2_oNgKz;F@b`ciD0@OVU8}E(VYPC*6#5C8TI@=OW+H6hco0Re%eV zlT((f$3+2YHgsJG1FvB}sDgN)Hb!eWiUsJgGm97p4_N!o(M+V4Y)L$hBlhEKeAvgT z5aXIXVDjdGA{^TSOX_<PZ_b8WUHwvhyc(2vrjev<{(%_aMs&VK39S|N+^#(13a4_d zjQO6rLDExN67~dN+Uq&1@IVa9oK3*iM0rW#9(8#&M|;L<#nZcPj|xe<+S4v+S8tS) z1QUUBs>`dn8{Yj8&o~FBRp(BbxMi>`T3j%}LJMVn8VP0bE9~7jf8Wb`I%Pcm{jPG& zuIir7_qJaE3pu_bFv^k2vZQ@+Az&Oed~mfMOqaIY6j;MuVoc&8UZ*bG@6C7e@>QpS z&6Fp<`ArGLLPPl3-FbxtQ28$v){0Phg9(Q4)7n;!71x%=R}Php<D+v5r`HiMh&vXj z(J-a)*UaVZ8kb%uXjXYM1X&Tr&3kB4tY$?KU&R@~C`W}XC;A_w9S-OCt*6its1P*B zQAC)z(ZpmMtK9vQG=DlJiOB1=pqJ@}or<a!bbFt6B2s<Vz?+GqO8dLamLNI%PZdvJ z$1bUV=NR&qoWJS~h#g+qxXBRbZ(yc+dOd?V(H)*;gZ=BRm%||2=TlHSCTD2i!ftWq z0AQCTc>4#e+wol4OVb8NLD*Q|_4)r@ha3vvx4yeru0-8d@+RSz9?t_thRW>bAn{yF z$B;$ZlJ?7_gUxfldr&<}8yywOZwoDNP5=&t(r;mBvuf8y&O6e&O#FPLb=6z!%~w%Y zkR#CDL-{!6zI?p9ZV?;@vqZ{(r4RVIV!W?^MJMt!TE^&Yd@#6KPgYBURdIOe2<LXf zni`hxWOTSB6t{*T(3YEWGuUY|$ZW6F)1Mf@a6at4gHGc73USi%Rt&%3t^&aSqOhES z>B;v%JCSJZtw~#EyM~dFgnrzDP>ZhVLjij~jr%%4%&nR6m~TuBGamb^;|fr7(5^Om z`Vo*>=z{E2!u;>8JEmgy#N#=?1CVcCbX&38aGwKtLVxG9vjKyDF0`!tz+{BPuWp9y zH8LZ0b<Nk={tNGUvciX_9C1a;I58Y?=@>Tq%Nje%>W7H5!lKXg;~<W$;bTR9n(;@s zE|Ms4E7w)|N)1|DiXnxcfc<K_&V|?w)iT`U@e}rIox?v&`2xHZtvRRl33OZX>l#T3 zSb;D)F`lI>A`$Ujz)?ZfdH-*Xbh<6brBtd~o321LA~0FR`KG4C%3>MQEn{yj^M*t+ zyBWl|Ne@Br68vya^nG@w%8DdRR_E(m*+KJZ7EAE)k@AqE$DvLtK-P>E^QD4mTP^aR z`VrGL&x8(|$!FRYzRDuN6r+1W{2yilFyLEP4*;dLr(6Ks|2AhV{~1M3=8zZK1#&z@ zI$yn(hnXum+{$6jWUO#=()xo8SYOPNumQ?tx;u&M(|8}<bE$i>imhR;>=!O1N_S+e z{avtD@OF5e4c!8~)>De6+g*5Z72Z*6O1{Oq5Rn;}n4EnSP6>=-F7K-Tm9gO}*KHC> z31ly3gX%H>)Nt-GPkO`pEO70WSNhH~M&cCli?nImj$xXNF8-_?sXHev-)ipom9ych z_P3rh?%S!lglYR=3t11|mdy47b2R%Tyf$kRA7`}zpiO@S9;E{U{!6gk#{!NO7P1cB z^I-7nOWJkvInqRnCuh2rdlXk3X%YlnBod4XHr;FG$_?%8TIRBA06MH@W9kvjj}ERY zm}3APB1{GUYVATg)#`%?i+iPiES#{7vAXIOiJ-7I7%b#svek-3X4-Lp<5_CtKXFv{ zCttwyY>jalyK4F(PnrKg)s%&O)24~BQCwQgN^Qy1SVYFi?cG>oRXf90Ze{1KXQfKt zk02V;`^17I<*b7uGvIEyGdYLB-9Ky3Pv8SE%V%RB+|}N||C93<_e^hDrcN`8?q3Bl z(l)79EAwkD6{bdUYcGnUfT2Ec1dG!)zNzB>V;_8l38p{N451s7hxfsPJ6Ay8QDJ!p zX6<b$#k}*g7FLz7AHUo{2KpM!LzmwD#ClM(fM(4qw;nDq4<r#BS*Wt)&Q|wRW85UT zpYf@525m$i-l6@ib+=t`#eSCd(&P1YDdxq$F*5NRkRxst98b{EDa!;-v`OL1KOm)y zNZ#Q{yL=+Ry;r`SOr=<M!WvH0rKA4aa|+3Ewwc{@YoVEf06!__?PGgRO$>Y{ikL`d z%ydEZ(+&mN)AzI7!R4U`O=ZKyI+5DbqN_(Y@1=E;o&}88yF9w%o~h}v(kVGQ4R0@i z{KUw;gf1exxvGzW*$p+X`h`me&C%0YS(@L67BQYCfppt?*g_qNRx~JDq7_DaX$Xd4 zSj%_>JM8WwdQ&G<nJ@qfsa#aM<t}ZTiH>jg3(`IN>Moe~Q~(3k@1piL#F|tj7zz^V zQl#NecZ&V;YC<La92vaUa`ctIk>|0GcdE*kx*l{^7&;k6@1s(F{5Oq=#aVoE0E~o( zYO>4*c?yPf=2$*8TP0B))ha-{U^W7{KCaBrQ~JPdy~#)VM25Zs^B!18<_OzoR||)5 z&3|YlGh*NB!s1A;eA1yW`z&GJD!_U{-cFW^Ag|X}gQ=?)oru=K0T+d<_8r`RIVU|B zBW_FLvdv}bR8Lk}3-$HN%H=Ro`T~<h?wk)Po?p6|N<a&bTZVRsEgk=#s(`Wn{o7CC zZP}%yCMG@Jf+{fCy|9ma9_wmpE<|yHdJ5okl_ci~PFxjLV5)}yeEI(OOHz+9G!Fn@ zj`M@N^TaUZ{DTl~U5EsZsKx~D!<C&Q%0+TNI%#<C<4jn(6Z&=FD?rc(nLBUuajWWB zQz#%pMPoAQO^9SNB3>zS5{@E*r6QlDynoCpeHhzfgG*5Fc!D_%k@A8>-IDc=r7ii+ zU~xBPz4UU(puuzzn>+3ijb=#PQ<q`_Rf@-qtS9TKE}xJ)T7H#?6DzNIO_88L+gSq9 z49hn`H7a}<PhFBE$sPnnZ-xQObiXPC;2I}_9%@=z=SWQrQ9sh?8&+8VGV)~uvw+*T zk&7wz?!HlQ!m(_)Mdfk0p+&fm^M~|Af4O5t%y45Zjb%_+w9T5T0jfT%w$eW!@E_+l zZ3oUulLSLgo)bp-_is#q%MVII?qdCi?}p93&=hjr(#=Zf2XNNLmdH8~J;(%SB1zFI zV<yOt@#V!pJMdBrwZz1Z&ZrX<%oNUZi0IkDXCiD2KhR5cWRQ6jw%W2N#pIaXNo~67 zoseCKTr5+Vv-*t?p%5i=9oQKem+PnQa(Q$l*5aavkJx=-M*NjjM*=ORi6xs2B!Hcv z`%ZdR0_T^ia4{JF;7HFpWz6)9BT(EhCWvdVdk4f@#FEfII&29irL#H?-d(k2M?P+* z@3W7n|1XsImm6d9ReU#b>QHR>2z-#K|BjLYFfWWxe$t!|ve$h}s;8)+(;jDR0Qz-c za&tCKIIc=NZdnx*n*Sx_Z-gpd>Eiq*-}2M<Me5HCY33|$npxa&)iIk=3fZD`q!UKP z+%GUq8kB<?+#_-D+_gJEM>`$LPBhswb&j0J=`M5Ytk|Vu8s{EFX<YJaZn9f*m#vC} zLPb>;aHLUE;d(b<ylt5Z2{n3mdt4sO%0%^frR@*YoTmiQ!uaDB-?h7Lis%3Kk+;>- z;_uW+No_w-FG7As2?`?kVE=q$AeUVu`UU<`7CLobca-Ccchy@2U(h2em?)l9)7=Po z-9E<j45jcrTx;EeRlmq$)TCzmyh;=3wk2{<Zvuf(muPKqBIt9VC4k6N449aE1{-#I zk6Y$t0f7ZEFzior)mi}gcZ-M?5KsCieioes-a{j6%tu0w6BI-ePo~h}NLbck<@CmC zO78)H@(w>Um<2^-WUP4~)TbSGuE+_`#?Irh%`B~VY_blCkX(2(EB+5rUme#}8}|PQ zDk=ynN(hKb=LqQ%C8QgqMG&OB<A{hz$LQ|vl-MYd2I*$hhz%GFMvaXhKF|BUzyJ36 zZ0GDg_kFJXey{7|X&&#t!#v}T+c;Hvdu!tH)>v7I=2X5lw`T?O*F%#iNpUD7ZaVuB zC`HwU+HhuwLh|QzFd){%&~Gg?>|d7?md{ioby?v*yi}C9F<YDusj|G@PNS!t8-%#s zllanzTY2<<@A)*RqU;|3NOjTEq_I~-6oB&`+N*as^SemY*Z<Rd|EBX(|H%qgV(9+= zxo~Bfj#lrNb((S}`@vxai(GB!L({Cz2YGN({_PO%|J9j)ul?sn(cN8a){}22%3}>} z#}4C0g^{(28}yG~@Nfm@Wu;69%~JXgQcTg@u_n&%7pQo^{sc}q@c2K>X5|JE6X2Bj z#WVZz!MvF_4d?R}zQ9PDNB%r{4~L4g#+y73S!mm9>RcC$Ra>nEEi0l^#(ym83fA`9 zlb0<&;<BG$Z)V%K`6bYe$u(o|E&h=>$TqLqMIHG;4i_IFxYBpa=T<V+_0FkWzt?rV zN0n*gKm6W_j9tInB|46wa)T}}4RpK1x&{1guTLS=f*otXO{wZ;`$Mw4&q`xl2cxGk zJJBM7X||Sl)wS#>^Z4dppZ)_G`FmU>H3@XP#^-j<pIbMXUpU#gJ}RS4>#(Wv6|2G4 zwa9<F2J>ivS1BFyRj))^+GZ6^50kg5AMO<`FZ|$%d!DFxTdOx%o#}0{wEy1z)AV`n zp!}{4kJea~){Ha{vC#=vH-4LnS;7hpK@yJLuBtzJT1FXX71T11D0UgtKvhfog~!3& zjX~#qWmU;)?c|uJ#}!@0ZYn8lu<AR(TCnvE)*&5A{!BJuk+6tt2v43_<`gqC*sAB_ zyh>()yu`IT?R0Z`c?S_gphw8!aGi}NAlPkX|MG+QuZiaZCSPao*2xYa7GA*6?ePQ0 z4}Z_6>^0WOaJPz={=BNq<R`H6!r<Gf$XD`-?|$W#EV4<RxahI&1zS1JgZ<nW>jdKy zA}UHo;@&=KvS=V%NScb4*u8*p^>7V)VJdj8y)wa%C<?9lVcgQ1ML`MOwnHR&zlw$p zcthU%QWOC+KL|CDqp+N`(n*?X!fni@oiMiVOf&|?*48Kr%{MfrPd|(Mnv)tJI}0ix zE?nMlwlxSt{)XIMf68H3cZzFFADcpVu6}=5h_9YbP7ODoznv;~Y;NGM^#g4zs|5(^ z01R;yn+3RIYEmZ7iKLV};37L*eEo+muG1tc_4nNGg{JE<b@18?cEwh_hmtdK)&HVI zud|(RlKR6)-ri$J+HMeS479D^N#bQ9;$!3a+A$A`EOskme;14>VzdgLqIVT^qw`vE zJNA8XcB}cLJ1z>sqaX3`FM28o1j;^FzibY@<|{AbX876L1XEx0h_*1CMpaf72r9ST zW`ZP5dg82$u#!4)(ZyJ}3Zmh&(d%0wGzLD9_a;~6I#R^E=|9155-UQNM(23E-S!df zob<iFlthBi{`wS9rrxz*HzJSam~MW*lYUu|Q@W}1ZJ0Rp$Nva#5-SUl2*+z{0!y>J ztoNyH^?7qo?SxzZ*fpkaSG*+H#92;Hr?kC1b#GQk6qtrTYyXR#3D9K=67*hKVk&V6 z<F$tbu1wVzU5HlC4b2?{QIz~&z*UCvgW%1}d>Zg*;mnXp+w;26+joYdzv%B;q0eO> zVTL^Tw(e&8{`<=puN&yBoX}BoWF1-lNyoxg1QjBuQue1uv#L*P<6RBhW|O4(iMX_~ z=Wcc}ii5Xg7TAlE)-CPonz_d|%o8YU#*D;2q0@OsKB{WR+%!X{Cx15iK%irv9MILb zA;4gGr9Diy@{AzpW@(GlEG?0;<gEOC_y~)wQ<&1<|Cgil{m~KFKG)WH@poTNgag4K zyJughx!`uo9ieyro{|jvk2_~k&hM}2qi!w!a`IJBXU^i210|0K5^gqB^&puaC`&Sd zF<EKjH#U=Mn26+<3mN}pjt4AnmU#||eMRH0kF7eHKX5u7o7Lz`*#w@SiBKhe^6%rn z=B@sGQ}jNKy3V0+%a6(<@cp!C_HHxP(tHsu(@tgIL6qIjYv@R>?JIk(<<%)!mXWi+ z_`ed<>-#rpjf3ppx$O%ux%}&S{-=lU#51m(5pK+Yl4wDQzre2lE9U1;EAHe}!vl=z zI`YGat&S<n9&p%iC57N%2eV;I_DVpHfBj`?&&xlqe!>|j55IrDktGEN5`C4ndFiya zBOt=P*0|ek{v8uy>(;*aGDAqO$kox+oT2vC%Rer@oXDi5sI2W5Jj4V-S!uE$YX5lu zgQ;q~lZu?4_P^d{(g$WDbq<wm*G4(gysXURrPb<y7G|zb*qmGc1G@(LL?3?2$`mfo zs#H)KdiK{rVOL~TUfFmXtYcNRJqjIUKK4SdGRwq1d>f<DzA_~Q=noT;C|!`*OoMiw zx!?IrP$p%}PgG@j^)uw3n<tEcy0g`0Ns&e60o~t~g#R9j6p(+H*4M{?n@QzLJgz>Z zUYk8W-bIW_gvD*&oqHpg>}+#{Bt*E(>YsW`;ligaqCA6pzYhHs@1HsE+zHIvD!k)% z;jc0O*5E1W(4qhM!I$E|f9>A2Apkvm@48b*0&_eWHw4$b`G+9t;Q@3q;|peQPB{N3 zziIg69fM^Npz!wn>4NAV_q*g+A6y4dnW&NK<HHQ|HnF3Icd@k@uN(zuGUwZpYhQ{o z-rq;Hk|I`jstinyMO?NsXDiAoOwkQdjMoES237p_aFgCsiuhp$=m%J2gA@FBs!a&T z)Nxj36!AjnOxe=alFGo+US>%}{veI*Ayrp`&F=#&AOzH3RmJ^7gUBZ@MJbNzz9n3G zYv%q2)jI5vJ6WUH1eW*~n{&PT|I=~*eYBMMfCC{Ati^-QyG@p-nA=jzty@Do+@|O2 zA#XUYO-RVKKVf7Xbf4XGm&~Vp8JB(9oT!$OY<tQidaAUYdoR%DZuydS1<)=E!64k0 zpTmkygjkc))fd|>nXJAj9L*;^p0JIWem47l`ttW5Zi+jUGnDJ!C~i`uca%&--LEe7 zT@~<}Epl*la>{$NKuiy{^v-J4h!~vaN)m8VlY;(Si2RwSRCL;r{*#wR=I(##w!gx_ zBSx_0@hr>O>R9{7Kdyl0oeAZHWN^wF_vGAi3OKEbf<QAm&NO9VYCv3|V=Odei%N#4 z7fQ2;v0u+5e}!{=VohdU(y4vt{(oDtA{m(WTY}~D1#)5h*?1iGz~*Tun|~gk(0Jzg zq=(IL<lQli@B7!ahQx9!8}c+#*$~g*YJOzBHt6%^LQyKwKXa<3t3N3JHLV|q(mLv! zs9N{rFbv6pg$Rb!n%)mBctkR}z$fL2$Uc8$8h$R`Jb7YjpSa<V=Kxy=?SG5l3G0~b z^_pgl>JrsiVoTZw4PB}U%uv1k|Hqbp_ds8GnDClJ$3(;m1lrtrWnTfync<kKPquuf zraru=3or235f|Vr%<<yZ6`22yRhWLE>aFkZBVca8e(wWcne(z0G$)d{(9dvd87=t! zb@r<py;&dY0-BG1@D`_Z^pj03N<Z1BlahQa7gPQ=4NaA0GMtzg_MI<9M+3F}e}PBf z_XonHPI)f^BZ#{XQD4@-%hCK5Y>tl|&t9$w*_NL$A(mm8fS-qQgZ$&k<+shG<A=u% z=Mb08hR;Rjr3J)E=^j$)<o1}d`>vu9X<L)_IkW2Xwm0W(uBvt$c!dJmR=L_2M` zAaG@g@r}ruu;~_Y7dt(F{!h{>0g=dGW|DYM!=bF6C+K)-e8yydK7Db=priGW!svk) zk{*>B!CC;xFL;PxC}XaBx?`gZxAUPUf9<Za+@!r#W~qj|p8WL<V61Jp60e=V9))fo zQ-30UdT=U4b@~SM_%K!PUBz9+ePEjXCl{<mg2HoN$>6E2@E_rnsV_n0xmW-kFTjWR zpHOpx{Jk&kSaK6?HYP<r8qR_HKS2wGyjhu?X%-sqfW(AS5j-eP?wFs^+jY9V-$i{a zP5FR|vBQJ!T^{wP&3x*k*N^{`&cM>UUP5|%H37i#*Q<`7Ck+_>^0tuqN~$t(P1^st z^@qPU{#C*k&NoPWkKflc2b`gvij`Cr@5Hs#s?!A$0{R(M7veQVrO{lOu6O&q^9;qB z4H{VE#XfzqJ&iZkQi>4{e*LDy)rzYzrSA2u!gr+q%9hHDj>CL5erejjz5p+vP7}D3 z)GzZ))r97U6JHis|Grz=<3VhHt<z-yCrc$+x3y8^dc+{w$hW#&Ht4vH;<gC>;JQO6 zc`volwsG6(oBnx+aPSXtS|fU%<e@DKUBV^ip3+Ma-@@AjTGu?G(|A$@4;jKKOzmGQ zR}B8A7c9E7M)N;<DrxrWx(@3a+*Q1hG0q<Fe*PxmouTm8)0ld~!}2!o*TvQEvyr@z z$T}09GILJrudnHDI$V!6_ToZLKxpcd=w4i0!~S;(ZdG<jTu3loCa81$!F*|Q$}SIw zmvfoR+|gED>EK}Z)Q{}Wek$bkug(vgd$8igM>BFv@V~kyX)gFWgb^n=f=b}$BUkTi z(M>qX@K@foT+EtUns+pKVn8A{IoyCaX+Bv-`7Oplq2se}u5Af|rcrVNWch@Tvu=_f zNsOICBA=-Fvx9RC@B0RXAgA3Pa@{Ossy^wzjy!$^P>fcj1SpvPy)KB;DPpvGx0ThP zctC9o|0n2L-6eb@68i9m<xe~lXVKSyccpB+dvdSV$NveZK21mLPr4HQ`u?k)M&s=? z@BZz0Q}(tuJPSI=g}Q~`4azeZVPxfag|ENLy70+=se_JZ&(%Bcbt*(3PPj{qwDe9; zpLQ4~y-2E|B6^ineR-P*_<ZjMs)Lik`c~a;g`4Sm;_(yL#FLoBFz-JGMY-^x9!#A8 zvHSzJ?{iUw4$gizTo-~18uTOT5G)y!&VwJB4@Gj?wE#W^t0%KwPtN*Wc*Cv!e8Lz@ z<3cO$r4Mz<u1c(Pel2N={!Z_{-f0Cv)gh3wf+N^(zGd};)Ak8mr1YG$YGys1>MVRu z-Ck4w>rRUVmfRisKsPi@ZF{E>>67k$dD-LqRLiyd#(yNCYqkwvRJ+di4EKnvN~H7j z;Spu8wYG?)pL9~rUyb1#^u_x!v42a#hCHNSMI5OnRB#UWP=+(GdC7XkcnNx+N0m14 zI46rALh<D`t!!Id-rE^Zv_$9)d@aeGOF#CTRz@elK+4Z&k`A@s^NwcMGpxt_kLLdK znc$YxN+jLe%IHVEXGW&Xab02Fw+rZ~LpB~1Cw7D;=^>whV1_YOUvK}rSYi1u>!Ge% zavgugjEC=pIZ&ixhGzGqksq8*fBa$L=u$TA&NU)(E#$k>1g-{ELbH1oy=T&7CvAuS ze)Vsf$3a=;!(z{lY=+w2dPnqWEBkI)%v$#Nhh|vD<JxOp5Hp+F#g|Hjz1olIe@u~D z`lKZxuL<vH<BIF=;u^YdR~L(ZyQ{B#-L4it4N|jdj`3LMRt1T!l=!Fn$+wqvLGQ7V zvfod{E-Aby3s4W?OR6Ct5(k7;S57Q@+r_25GVa<TUg@*1wpC($L|(-2oFsmTuRDC^ zhV+WmkmM+)nX@($sV(`+y|wz$zRNx4=!d(c<G0n=X^E@rQk$QnhXTuIn-YHvPRHA{ zK$X7JryY#Ezjp2nSwl=x9E1XF<4=l3gI-1?zKELONv-Q&f0s%=YA5$}?<0sC6)P6~ z^IdxKU;WF;d?Sh_T%;=E-e0503s}l!hbbL?q?hhb4#Du7iyV*qI{l(j=%P!de_J2J zCPheBgsA&gd!Zjt>?j_%rbJ+CiWonK|DKq4y|H}K#7ygwgB$+_<u&9X(05EMmh^2W zPyEFL39#f8YUd06lpU=M@2n<DxIL&Z8iXlv`O7V##jW)QUsi7B*FZ%yilS_HrVD6z zEC_{l&Iv9D=q!oUh;LfTtaL<DyT4Kqvh$hv)7?lwu-Bxw!U)g(F63^O6#PW%p^(aU zTe<Z^73yfIDWW4bv8vx~*ru|>pnrV|Dj-{tVDCA4`bt7llu~3(##z@tp+<QNc`n7D z;qO{rb++?<f44+^=Sp{=v}tmawGx2QidE$yx8rjBdIKsIW6F4o|L6o>awOJgPEUKM zYfAf8P^S2Ri8RdkKbg<V!E2xakxH6WX<v(V+J6_k<2=^XmD+O?Mb=mO6qtO<0dzNu zmv68vZ&BJ`eMu4+$v&d#R%zDlX4d3SnR=&JGBAreUJi<%L40%Qmxt${XfbpP<L6?h zwBKakBRDLK$GH0KJnQ;O0oj;i$YHmvq1&<EGse07QIg-QG&i<ywlznR4Adn4w}!6& z)<Apo$aZJuCu8t#{4&tf!2g&Z5k!K~*Q38io=)HE0YRo@{yQ>P3W}p~+Gi~u&kJCA zg!kcLW+E8pd3pTmPu<>mzU8Z^$Tt<ReS=?fhlR_BITbUJ9N!W45Mz;=*@|Qh>m5VO zNe%PX6v}hE=SoeMO(L^vr{<*Cf_(KOk4LjO6>fT)`8r<~dSIYKr=&kn0(lmvBjpWC zFmSlkF4*7PR+jWEc+Yagcie1SoJ;RI3mR^6I@sD;0Nf#i>DxhV7L`RKU9{^9Hr<&1 zcWb7=$X61^XL2Bn_v};-ovXk>taH<@@r<#D?8ihMsc~ckN3<l*I;kzPa;qTvaO}J$ z@#!IkVNyQhdiG62u<rQb@4&d*BgI%Vw+{Mw)mlUbb+S^R4|*C^C`sD-826!EDd_7U z95-O7vA1L#NB_Mx$7rtN-R&eDSbB{kt+guWglsKr;l0M-AkII*5@usOXXQIw+JxC; z%}O(eC3yI2iL>};=`Xz1^@lm_2m7mK`rdhR%L!uxc;&s+bM>gh*NYITo1Kb@JrV8J zVY-<NR^-v$v2Z>yRx5clnC@So+MU(jQo~gg1`J2Bl`T)FWwizLUS3o-Zu#mYr;>xz zDK}UWazL^Am?=5_$R<qP#CxH65BVK){DHGn8FVa2KW3$N)@lmWS4|@k!Fi&OiF`Kn z^=1;#BUu(8{e3Clto&w~%ZT$iAjnqO|8ZwgB*pls{24}wsdywLjZ%N^$F!;Xbne_m zfUeiut=+oE9A%)zyo#TE_0wiD%8jua{iQ;CvPZcAXbf85ch9S1W6N}xz{YERC~4Bs z^p-vFZH;BH&$hQdmus<_KN<ZPuta$e1CRkUW2cNd<QHPRt0w{qKN1%5Pqd*Pu*kw; zIPdkqsVzhf=Noi<djEi`yQ6ux1m*si?&4Wh_sDk}JBGX%9mo0b&EIP8C!de;d-(Iu zofkA_1OyodgB^m?=<GSv1M3m(8vQxAx!*I<PrsD8*JhmMly#o2n*g%y+g{Qh273U{ z)3=w111E}2&)-2~jBbSe%$Fqd@%p=MwQRA<G$HiH^>h`)snb*Gdo%6UBR8~XAys}N znHIuspBLslh0RGubOue3m9~%ES+8i-i0hbHf4cHQ)B8~OK^~V90uS)+bI$IRX7=Z~ zoI~+yT^BLVvUu7HAK`<jd9F%WyCLAw>efqVJcVv0K6rKnUD!*RjWnT1^{W!)_j4>Z zzOSc<gzYNkdplns3RZ(<lOGiXusW7k)>k>UiEn3!aIcCU>sT-vIhu_~57;+-8g-u` zNasK-O1PBLmivvwf&wmlGtXU8$Y+|snD(vb_}CY~py^e&UZwaEeAER{1w?&_+8!vF zesI2e<5z2Xl^UG<fcje|xaYk@ldx;9+FjJ{H{t_|Bm6W0$xG_5?X1|!_F5iSmoYJB z%G#Uz(M3mkOZ0EW3G_#QK5rNELWc9Ph=~PW1Z5}zD|j!8Ey+h0{4)|&5I5@^n-({I zKN$UrcR&+~A)HUQWMDt+cmd6}49>3i)0CEC`rqb$^g8XR*-|M@0Dkso5S(t%(@hJr zlaQXdzSQ-S@qhRne?dTn=t$n1sq!5(&cj)fQU=1eh_3X^j32*HPyK=lS9m{P?h^Dt zF?xwiWhYx?bBs`S-TV_ZJE8ncPECZ(;1M0EHyc`x+UWMSf6pCC2VI~?Z0|Vwu)t$O zHpKW;9@nkL{#0QdbD4T#m_vQOZ<NonT(6e^0vg%XA`i(OX)fF;*B*EFj7DX2Nn1}~ zjtW$s+O5b-4U+Araom~KTNAthmw$vYbR)&xEgHfTGCg_kwXT`J8%3S3>ER7xv0;Mk zzh;Ve4;b=n=qkaugm*>{t#7ZSbv^dx`Ap-;V(D?)u#|)TJO$w=(52N@5#e>>!jQ}H zSY~VWjSV+zhDI$|VGl)2x2{DoEiB(~-JS!p7JH=uQ4{~`V^RYXkKW(?^;XHBwIB9W zicD5wavGy3@fZ^2ZJjj}*(wFyy@*BVo~m%PKnj-g8xSf>dXq@NW0^iu^<EP}e^bHr zxU`U$-oBVHQ_kCC$on>7^a^`Z@}qX_@T>W%Uw9quGDC!t5=<itb=#Me{Rfvo(33M{ z>wBy!n+Nt|OAMfsH9e3NK@Z+Cd_2Lr4PxE2kI*g6n@8n)o}K>w_C|~8rbo0!&mx^+ zx-Fv|>HDimst7waEfF-);N)+u--ea@1m#!A=&{{Z^6R#4eDr4R?rU#$9X8sOcU{xX zwj|aKjBUV86-KHrv7sN1vgach$a14UFvvZOD%J`#re*|mS~|5VjzX#YKR3Gf_N^v2 zYN%k3`A3GZgSvg!>KJXY-n-#_A-iA?`1J1WEEL@?WofEvFDs_co4KcAE!19qxrIe2 z`YM%f1dc4#5``G6^(cK}f&AQ}w*B6UyDQZGs`b|g&&=*^;(U-6XJyjcsii94&nOQq zy%N_7?opubB&{u|>YGOIZR2gVXYzp0e5-9$1gsyjX~-=hWZHZGzPD6+fY|P>QRD!& zrJ}15Vg2P|lwRoTH^0w2-!$px!Wker+YDfOq+4FOl)3Y#;Hr$5qZ6go?H5KF(NTvN zlkssoV^})xRIuhn)z``K0C0X$>Bt7oE@RnhM-1?ReMfIbEiYYsQd)*-#)9Kcv7cp2 z?)%S~bRE=hGl9pl(rHJ*f}kall1~%68(!$@U6V?p)f2Vg1qjy-FV@g&?9^6FneC)e z#%_?&lx$&79e>HyuvVmP^N<wq=5p9tKOSmCWWb_M3^TL*en}a>ir)}+5F`-clg(;f z1|~GC$i%1a$4%gBO-UG%`cHHw{qI8Do9R&>^Y2fAN6Vzu`it`K*m-J|JaHGqK1QB7 zdt$7Dx8FKpSNC5)7Vbf2d@Zj8mOWagyG;c%{DaCJXQJIcmo4a2mEFw@#W(rvef}Eu zyM)VAOYhR#(`dVdsloKzYJ)?u#b2*(0MOh5l^qMJnG#_}WX_17mlI%DkGF!4*q*31 zI@Ef$yhuxJDfTy+q~AuUg-~t=#OT3=$2BSAt8yw9xzG1p-m!Vlw?f21*NSFrnu7c* zhyytMFG?y}i$UI_#iOSY5{?b1#AibAr3%=}-oD~lW2I=tsgW%8_n_WPRmTEHz_W2i zi-<u3MUPaK-!NKQW31ZqUdqJ3TYxk&b;ljW273zSREg}Ch8V<8CbZU^IBbtPUl^J@ zij|gQ;C-Vrh=A`Sty~zEF}*m$=#r3DL|8i~%ga_2_p`t(-MxX$#zoCRsT0CM$M@d- zzdO}&8<_phgnCV`@mQ>lvltOj6cT{2SU}pB((9P1HHc%@tt5TN+xra@JbeKASKQ_5 z(DFgcM^7_|d<U<S3s5IatX|1J!dwEg@dWj7Y6_X}Da4#-WW9XesJJvdHm8TL17G!s z9o&aqs5#;e0rbK6?=(E7uKg^q$_Tp>+U2^26w$vo{J>;J?BKwq3^+Pa^E(`cIjuxX z9_XxsffLGQuY(PeO&R}+&`!)HJUa6d6ylSmF6D__@FfG$`5X|cVR!3BEB#kW_8dC* z7*ompIm0HT3?!;)NBeD;&v$(#od(k~FY8d=-CRVLJS>Bo*DZ5;<}Ndptl;6l3h2)N zFnI#gJ3CuzMULqJxb5|P=<cJ1cTzr;Q>iWa+{Om_vD2N61f(oFBIN+q_=g)?3OTiM z*ZyMju!{f8D%+OD(Rk@l|4ff78dp_8KRu3`g!S6_{B+x?l3nOuN&mIbZ;G`Ieo3{g zD%h|zKT?p<(6F$dvXt&6^dxNN22&j>PCak$m)>ZM|1=Z8rY6FIYCTSAa6M&o<pZ-k z99|3GQMNp86Ft!xA2UX*{q|)-W$J~vRe~w4)=m8jMqi*ZYs9O>{Ux)pZDqYCyPm%7 zu2tyFl6V*$svvBdLCPdJiTUhcvXMt?Pp@>3XvA%*u*iojJ`}lJw!p`-)I^m=r0rD} zFN7lQG6#BF_ZUu%mjVh;Z0C`K(VQcguIMc6*XN7z;ndR>r|t?-H=iz$lh-YXQ0@_q z?itM-9o#x6c_B$QvSKu;xu+MZHk4n!x~{k>v*h(M1+14P!9r5>PRt4{654ow&sWa+ z9CuB-KXDk@+x3%{prBj9c+?&t^wfk{#4N?mbAe273he^9T*#HrUbW?9XLE)Ztf8<? zi?>_AJL~G;7sSya)n8AXWfZ`dpBOI#8N%D&sVi?jQdg3wM-#Bsw}d<rIpt5nI|+E{ z@+P(hd<1y<Kl$fRBk{SqRYDT&lU86K^OO;6Ucj+oV!8RTz;tGxXz&;^IY}&UL4Lm! zSfbu(1YXs&bBshTrgYB7bV~^`8&RfpZU4IDUc38yMeT!DPUsd+KX}=4r_@l-DiAK* zav!RrSLJnfA9IlfIo)C5D1NcM*gfx%)d?kR?RpdM=ll3#MU(kFvDPTvW@?h_8TZM; zn<X>tb=YY)@z@QMTVsckXoG&T2L6mh08XYCl=slYBH`_<sMmYCh!ayEPmPaBW^&o~ zLgrd(o4T6WvTUR#H_1ocvT5!WRLAI+yB)JAvI;4<e@@zPZ-u5eVg%pbt*hWZA;2{- zcyc_fh{({I3^IbeJePKN(&BE7d5lO3MDe?qJBlAy{v<y&^*yvcPOO@LGv<ig0b;Js zed#L3p3djyQiqm&z9mw+q3T#}+*yVnHhU5Gn&i`SvofjsA`>+W;x1&ALY_VLMrbR! zl2)FX#r_D+vdY^{6^(D2W9lgzyG>Ba*Ip{<i@+;Uh?jAYQ89|8uE-T~|91)0YKqf8 zZ>Jk$1YMAA7p6<3FSOu1Ed3oQ0bKn#`#7F689lF>I~Qqu%@WX4#G?u=Qaw1>Ik~uo zFxRkx*sR{(-X7P~bXuQB>yjjc{5D?9m!vV!JXm9<*P}UKv#}nzYJH9TSmjhy)$FqP z=W_a(sI(WRc3p&&9!~rNn#sk4n>t_Ai_aA;iB(*x6Ty*5>95c#C=Mn-E0$I{-$^!u z0uoy)vOg$DPwf>F-(a5_fHF4m6^N%(5t~%fU^E^>*%?zMeI&5FdSWwWe-38ya<*~T zJ$CQLKW9rx4ZP2+QO+)Q*{kE7+MYG2cuUb31803;6b}mU?9<`AZD-=PdT{&s9|k00 z)5+Q>skdaQjj3s})wmJRUcCGg`6a%|O*-mDZI;xM<enPdGO^+%pUM7!&cnkey*b|l z3fR$e0n?774z6XN+LePYZOhcWn?M-}WED&5{pi@$Q((FJ>+|s#>O;dd4XEls?$ofZ zx4bvqrv}i~QaQy80)wLMLalW=pw{%K5E(jnx-qMoH-yy80WClQf&Q$2a~TM7bZQIg zw2L}af@!i^P{tqMz-1%$^g;xuYUfow_^x|K=J;_^x&L}MJfR8ADt#qdRXQ-Si0c#b zG~H9HY=A5o#u|?V;3W81cA|$WwgCf;QU*h7+d)|;m$x@71jF6V3hK5$QTQLvTYVY@ z?APf)^Os(z6d+`|)^UA$Lh0fk2{X~Q+&8@bN~nGgiE~!Y9w#~1+GEQdyBFhh?Iq7N zJkPPHpZMeD*zD8%CSb<XYmc~gXM+(UuGCP;c{(LC$rkU(DsN%+vz}3CefhmHv3tVh zYX%j8B8=zcV&~AJ6b1%C#4>=+5=?O`lz2RSrr$6bfJ3OYZ3>v4r&oy`7I@!~QQ9=n zJHcSOiYI-LidZf_7Ds*Gk$yrUdfZq82YXvCOI4Mgq1g_kp*gc=-ScXiALf0M26J5t z%JLpImBa=b0+^sG?_oWZ?<!Y-303!k0#!<z`zLtnQ2&Ah9?eokD|~T#PBm8~l;$X1 zbqau9o)+>s#vF?1Gn}4dLB<HX((tlc0_=C6k;rH|Z7owrr;FoFTrFNzm1Ky$0yrx7 zDQ%^fV7Zdr++awvJvC}^+czrC%+q65mSTZ5oeNp07PiW@FRn=Gs6v|OM}N9q;ZWd& z5c=jT1|WZHBhq%X+3jFuWYQJ=>GbLgu&in+%z^|KjRxQ^eZLQNV?8Wj?pKk~mHXci z416p)yRG}}QuCfmTHLAD^)phEk?vr-(XvcDegQ`c-?1gJkT6$^x)C4*`hBD))ClKT zt)hmgG$(i(MX8p$C0u9d@f(~qm7^G|OXW<@OwnfhI!;KPk3{bLPe)&DN-xk7R~90X zu_e26%Vh#IrMme-R0_oMGv4P@ZRVlL6NJbdk4t9z&VtyLmk<5$!Ji#*=5~paaI2so z#9{9z@F6`}!o?|QAkx9h*w3QYBl=X@*lU;9mF%05+7mX;k<{!FtCx(m?HBh}y(Ct( zJ`5XfrvKu8<_jy|vhX*9X7vH-eSYHHz*gm;ui<{|OCd$JT2fwHRjA2m|M<8$io<I( zXv3Jtzwvl%=emyTEsH_t<<CnGH0PXWe|p=+AuP=SR)Cj%#S-1WJMzjMk5_IjtEo$P zosN>sI#lHJ#Az5`tUB4deMsVOuUSH0DPQ)q|I?I+4frUbkMc6{s|VUbBE)x{$5R=A zSAQMsHTRGTn&?c89KWcGt?M2|b(}rp8L<Yy(preQlwsMJPp^~hg4aWc`ejuZP=XZC zoPDz2d)7ZfCht4Edtzf~Al-n!=S6Iv@%ol#!Btoho(R6i4F1dv^C!KmP>A44`=tkm z6-Ag%WN@Wjl%nqd5WKMz6_sM32nioSvJ-psmCg5dW?eNtxvH?hNu1@oUazxoYj58C z&VJ%>GKHuEm;CBue<ki0a(KDzE#*UW<U>J~ih-x*Qp-Htcdu(R^M%m4=f9p`^i2xw zQ;uUR6U+07Hyl}mTYk@g0s~68xnRZ_Rn5ML+dk9c4K*;pw!gVs*VF0Ia2mgM&TEpo zuTQ#Jt+n)hW^5W-A<rYE9?IWW@GVbxv{p(`MUA>O(Z8zq_#n3E;{}g*X26)oLKasM zPNo7nd5$o6V3Zgst0~SQt<8Nrt_LyaAc=2Uf>7-Z*EhXxN;zrG=S(wq(zlu_j0_fO zda1^$f$*3E?ya3xR%I3G2!}Q*XK}J7v{#Dn$>^qi^Ko&nZM-F=AM_}>$vs~i9)5bx z4jG=(V};0bd=a1XKOZ1_wJaP7>v&WUsO)HLa>*a&%=^c{^Kd1h#Wy(t5J~lfbI~F` z8Thztpy~rc^}r-@vAl0Lzdy{Gj-06=35X#bCSj=q@<H9i?s^GDk)WzYcsi}kxU^fD z79``dG|J=Smj#0nC7<;_$vy9?%E(^Upk5{_XN&~BFUGs?IO?HXRiC}x@f@6~D&>mS zvcuM9^7_y(ECic`P!)J}xj8!p{YafhT<Eeg%^e(z_pl9+I~po1VHm4;Y+)ujb>}TM zB~$9aGLo_qs|MW<fmR8Q#@{QZvj(y7XBRY@jMS#ZvF+a0&=HI8H{jK(D--Z9spC0a z)h$C?qHaN)^gYCFuF^Kt)?=I8smyAd5{vn8k1DDtA)n#hyjA|gwu76Nx|hEL-qa~% z==nrN#CyETaC0-ORGFgPFh-Wt4Wdb-_k*qn-+j9c+q$I?oLh9|hK8^G*z1$e?qQTA zA8UP7(vZ89XI;YRi1T1_c)G&)`0Fx$c$neQV9rz>6w$Bt^i!b>ElIw*prDqkE<(0` z`~_D?VXd;-Z)OG*z9{1MR;gox`yIo~DhtncHu{X~0x7iAg}TvaPU-w7^@IgiJwk`T zfzHZcQIq`>Y@FSPRE%|v!T3ep-@vFy&j1=A)HGGfc^?g*;rF(p6#h;k_muJLMhmN{ zXFR=)R9rZ1k%`biq;2!kH&*S`WBv{b20E>aXK(meI(r%c6GdZJho6gQoLzfn$j_ql z=poA^F4y;;N>guLEKX?}E>+W!LW_V~!*>exJGuh9gSP9t^m-P;<3~y6e`MzWfo;3f z+w{EA)|v`G-7gg%UKn+SXZRi}AXW`gpRpnFr;HLbORAwFcQJS3j1#!!OyNPVB`wZh z(oO0pgh_hRwHBT_RD`S<>xTwJBn|obi`Dhle79<x9MEj_t?^ZnSfdAP{U`%2&cm1l z!Cq}#bAPm{zUw7wd*O0HEA-awuhbRsz1D0kG~tNvLc!7B_(BBo7i<qiD3hx8?8MJ$ z0FQHW7AfrgXbEg%`vu2xAmQNka?Hb&zbVTh!vdyU$L9mqa<9fZd@bNaU-=QTmg-6n zdq{>G3=eG*cfsUvkTHc+0OMfC%lljM6r0VYrrWd^dobStrK=?|7;sTj1RyI+;dJjM zU%I|rP^`&sBAa_-p_<F+`Y1qibEAhQy8fDAzr3Gb{8=>-hL1XkEwfJn&-w?u#?7n! zUZ(Sp^gxTAoTeK}{meg}FHM!NEfkwAfnH}duZM@7drh{R_w1wtjKihNBHl1^#7sf^ z)6HLE=_J3-hlWDMVJs=&27}&ut%Y>XWhgR}EC74a?7Cz%3CZX)Z?&2Cbcg0o3r&z@ zWF={_j~kz!T(_M;LXAlwW1_#h9&Wow1n9vgSqGxiVau})-Vjr<cK^@5a2pi&`gU77 z+cX@dPJ_b0se!=p=>4Xydg0UBsZlL)2G2UksZb;Rj~QM$<OAZmxY0Wo+Pa2VZyUX( zy<0hpV%ba6NV-<Y1a4F(*Hc;y;)op}RNM1_G53UR<>M-XgB)6NY2{yKdEJQSgwBgJ zy;AA3f-A2`q^XG?{;JFLnjV?o4~_vWE05>K$~xUd0pr7v(2=H|fF)q6*JIAz9b`Oy z$~LQkrfu2T+Q4VfNw6RoF6!LV5n1H!lgADJoU1<A(>Z>Jf3=typz6Wx5U}rBYk}dI zoZm-_q=3(k909SC3)k_B8Lkc^nYXi{nSoqAN*CdwyRDM^*P)-Q^Yy+)1wcL0htiEo z?NxzEg^48Ox#Dw%&m|m(qTT!L#@<lvdclC-5ggV@gf5`cFjJK>{obG0%bxdu-ttt0 zQfPa~?nMcF5Ofw?;{WPbXC9O^AII3=^oftV&-E!2%y^WliNn3<Ui~s6!@&P}&tB`O z>0^;e<P#!oO<8N9iL}xz6Jd1F1om@Oh!emQPZQMT)GTB)74cLJl208-A{(3TKvzny z_i$S&lu?1ZWLJP>+&m^(?!?u{x<m^QFRHY?4jCPOQlR)<e9nK(3>6pEE-BaA9ukT2 zegc!d>gmb3Un7yvmlbx<=$NY3+i>z!W_fVG^%rXc3e5m=_0q?~McfS3k}e|Y7lDVP zGJoy~ed>JkT#7bo+wQ<8WGOU;_?g@`VqMb*{HRDF?n3k=@jCTHO^`aFa~@N6gln?Z zO$+p6o=(Tqfk3@d-U<nsMaBa;l`(F5>F0qP7a#>F+Yrww#ir;x<gT3>#lh2TKIy$5 zCCcg_*v<Pi!H<2Ed_>k)%<SgsBY~ly*ajW#eK5A3Tk%omL)?#cBh#!1B}YDufp)bP zjfklbPeTRYrMaFKv1G`V39COss=(pf3^>jt@)0`#_t6G{T#~n$L+;hFEC|}iIi`uZ zlTO~Jcq_G>e_N=$^Mq}nr>Y?(7kr+w9OL~XQ3&xQjG9f^vVvxBrAwL%3miz!>Y93c zo9%xp1NMo#&+HdY)CL7#P?Fg$YQ{;iy{jw`PEvNqgfnJHQGt-?2HFAGieMgc_c3!w z=F>(nTyG&mglq8V1Kc{r%uz|snRPxSLvTHI-E$V5XFia)S<-Z)Swi~rJd@f{<n-kk z;qXpw{f__-UxU^Nw=<3b;Ioi{UO?HCcW5cm-;Ql2`#(QQIw~v%L^yJ6)1xao`$&TO zq3o$NRY{l1DR`d3+=_R0go98~X9<HJ`Wfx#-e`=W>9cgs(HkVK_U^JPn8|RW<-VdR zwWb75ce`(9R8jWycAS+WpLMd^4yOqeRg3KgE*8VlPn`9cvJ8(mfpL}K%J#bCdP6>e zxDZ0Pj7>qQ<xAT0o)kC!G}Vzti-IVhOnkG3VA4U@Myqe>gc`=sDp8Rk>cpI(d(?rt z#H4M!)x@Z2E|tq{^2i`sh6wZe8-MS{C(nu?$@2mN+{+TWzHmIvyFiD<sU&;O&t$Jg zE4_|kLzPu6zKCTeK;j#k(w-LGW1(bO0xJ=}(oBM9p5%;#I4v0dF{@yr$sCbmYOa`M z2(8i>o|v>(HD6>jY~Fe+BO0H53{yd`ktq-8_<z?NO`MEYd^?4HXm+{o-w-ST))a7$ zBX=Z@n@2Cg->Tg^o_;ux-NocxpGz_<)J=?<jVTL+M<`GMQzVfKg{|>IEH(7&(5^-7 z$-Ru>86DsAo<KyK_H?gf{le1p^t7?zUMo%#F~xIyovRA-w5(A7I0dw_;i(q&l2jBa z#{2IhJq5-KO!QE#h<D3f;#r3@q_k&PP{6nnw|$!#oQtffRd4w$RIP3Gc*!c$q8M_x z<aoa0?+ExF(cEm<b;(ufe<j;&!e5j$k}}Y}uwYikq@=>Hi9+b4fR{vu)YGzkaHeV> zCf|W4IEbJqnoM*<JJ#G$-O+FvVdA|soh3Dn|GwZT)QJb1dM!*uL63$H$;}OOE`=YZ z7P2OZ#HQloi@S4%LR--4iq@~UjVq8;Fz@}>fKU`A*y>}S>&Wrz{W;yOH<(}Kmg;3? z^zld~e%Ogzg%dtZ&&}bnE_Z>~aYex-O~qy8VFRi^z3*3_d-n{{%jr=P7c*6g>j{g; z7SAOJaU#uEBN-V;!tkeMnc%AaHV5~WNFM2$BQ0_&fyrOHt%5@CUrviB_*h!8m6Xsw zK!S)qP@eNeDWo8)w^-`dIVIVS@2R^`!pMU0dSAJgo$;Q-pkBYa_J*TSiicJmb(LX6 zfGn?jQ1iBw@y5`J^pXoai1>)}^||E346Wu<8&rai@%m{Q2U%YRA$HVi68RWzfnU=1 z8xob9;Km=<#e|G>CZAv@6Re_ag?!+^QDhdU<Aip25SKnhy~o<&iiq>K)AVN%ZdUSA z=erG6Q-i^`k#z$}7E4CDhQpXKXw#;o<tEg3C&)#a>``KpCKjHNK{n{=wYqpFq8}|K zH4<TvLAO_4G2mMB>5bfIzqw0V2cPRB|G^UeWn~!g(E=)qU7xpNYvV9Ngsl}or7onq z;cYhUM5e1Bz3V{x{a!E`7h@e&(dGc%*|1r?-|(n!a|{u{Y625>LR&mrpP(mkov?2< zwfiJ9Rw4-AYT+#YfE@%MEe;$#W-`9}%zp-M@7)p<Hp5?vi@xv-spURQlS%YLmKfMR zE1`M3hbe4ND)P3<{eTw7<?aEE?c&W}VAWqn?mWRgDZCP}{3&rXaZqg|AP@q7#vLB} zb&}_$HOY27y8PSMLt0z`!3}ED>xM#_?|M$G2BlwsSOpTlfQoe1R*pxQ*-wa_ao?)O z0v+Bg)a!RbR%{TyI`guod{E_O$K=`%*gCl8zW<Z%qxDvEdFZ1MGd6j^RZr4sX7Ozw z>GM8^r(<z`BX%W*#|Z|;1*2~L3S~D`AdV54_I&CrvJy!pkuTmgjT(p^uU?Zr3;}2+ z!PVFFAG;?80ob)fSR#8$2+yCS@mm_bnt9xE1)I=vvdhhhMOI>2icAUt?>mv1kJ*fo zJCU*_V&cuYhDEPO?#TxOh3&h(<`WE@4^6SDti6kbDTH%xv|rKHCM4IF7b$CQjy}7e z+z5B%8EeVJ`uvkqJ~balybF@aYp!hU4p}hl58)|^a;rC(Ovhg5sD3wXijL~ep4RLN zHW{=%j}n?Eb@S$rYelmTZ){h6f(RY;4lCH_=Y75hsmHs%5Fm;N{G@!Ynl|Pl#wrmP z3}2x$r0|cEpDCHsVpQ$bu6EOQJKcJcUlpC}<A;)y({0h8Xb!|&ZlfOp?OQ}eZ>1*5 z-SrFKTp`a9^@Qe#fce1Z;lZ<#izi*U1@vSv&S&S{^P{7J9D})-{vU$r&-E*)ycZ-8 z(N52zifJlK?KuztK4^7>wqnj8vE-d3%Eu5NW>n{rq%D(Gy|I3PpmuLqk+dE8M-b|E zO(d9su*iFQ6MUdDA#qHK{zVD0Gf9%rcht$0ZQ+F6T+%Uh_)ZQyuB@El%k26%z#^%s z3>s(OuB(<`FwGR7KEzl0$?t}RCoDr9s>^*~`Nyk_F%V#~lsA5wxNvdta+Bt~=GHm; z{jtyemg8uUTzmL!=%P5rN$;g?e^x+PE+F6JZbE!tZ5jCN^(DBQSP<mbfcFzf_CcsW zs7OgtR2#XT)#K7taM+LS{2lWCRmTF3%>Jx*q;F>>GP-e69xi%U|8^;gs-Wo!lb*tN zX>7R(oj6eSm}2)Vk@B(j<Az+xaG!b{ynh|%F|z>D<nZZ9+criTkx4XQT#Mie>wA%e z_RZkV9&^OSU>nEIee1SCkp>^rbgkY5&xK`O$XiM{_oG@uF^1mgEkNEEQ%Nj+T5V)A zlc4fRz;FJ$jj5j!oKA25VEam=c~C^84I6E838=6D2OL52l@}apJ`>Sx$R;Vz?WHVy zr5^NPd%)RSeZAJ_91FwEC1qiwQR!M<<M#EpD7W!4fk(z>YemJQ1WR)4*D~zg27{kM ze7F7#ng(pzJ6<dS$CQrHolq&i;idMY9uy9Gnq?qDJ=_oYVC!I4wQuRMzq~*yp8*MW zdRj`xenpYypRYZPuii*yY#ui^ctUJlj}~#T6C!}2CE!dJG(J<F>GGkPrhV&mOwSu} zY+N{HmF>01E{WTDay4GC_gU4X)qK-Jc9StR^CNz<aLW0EA@?15ShUu7>$1DS<_0fd zwM7Q4Zf<sMPXX&9pHVW)$gTM60@3CVHIKjXQ}?wlV?NcQe0GmVMkt{NG<+<Uuqm58 zNo3LLy1!!gItT6Yx&3v2GS3-^l*=}RLl402`{rRHOvfdvNFl~zv0Lm=$jp-e$Vao@ z1h&mZx+d7DPoQhe@1%b0Z!HQtz#DzGzu_H*RFr7;&$>3yC1s}G8&JUBTXmhx4d#+{ z&$N(>OmSJ~(D8cc@zk`z0c{r)#`PfZ@DrMVIavGe4c-@Rnfzogt8N=?RTOif45dNf zu~|Q+UDL&y>gsB1YZn$5<H{qABaWez14NY>Y9`O9BFm%NbGVH!`tBQ34);fqyQ0a6 zey_M4E)p|c_TFv;1HJprS75b8uf1m1LywopuOkf$+(V&FJfrh}7W$<H>NEPbU^GI< zX~R-nrmUX2MrToNKSuA4Yppo>EVt5;X8w7>Lqo94)y|U^WeFR!94{c0`l#<DYFf>n zPKmR)NoqvGo9bSDg_RfjX^3RXAyU|1UMwGMcorUefn{Zz1z2t_J~i8dwLC%1eui6} zdU5PTY0~J6C^|2@FI`EX?yw_G`J*#43hKm;ch4?7c1R0*X(L4VE&O+dVUCyrw`P!t zwpUlq`=d81q+4b#0{H>c>Avz_a|7){j@hIQSdDVX>k|VF1+{lZVZXL}0wHt1NB6%Y zJrtO;3s_d$bkt`;D4M^CU2H8EQ*4<uqHoL!(MXR<F=(xxk4*{-u`MGrh($mvx*uLy z)HQz|Acbd~iaoY7DXuLzgF>f`0@y<}5p_;&4^vdw#y)?XK1Val$twTl(ozDm$eX$& z#5Y99X}6Z>_3yqse?ipDIpn|p3<LjGZG%eM%6<pM4A->zt_7qvr|eN*VPILz(}i;v zE?Lq5D=V%n5AVuEhvnCMnIMe3z0hvw7}MW3ARWAm{#Ga?Yx}WoNwAa&h~Tr8&U=%| zNag4-nv}{opDTC{78(cpy#c6H88||w<ffEA``Eo{)p#*!l0;*xcm7C*b>?*=9n^Bx zFx}U;uX`14^8^y=6{mDBcjhe2fhgd+TCNVFaO*UD7_{*vfu_jE<#nv#QU;mM1DW-^ zX;P&*cOWR*QkVKSQva~Vw@NzfI7Zbrq<gy2)>>Y!UjBirBvrlgj64J0=UTmG0f2O* z-kirpl}61f2x~HT@DFiF((de~x_y7!w~tB6YGz~@w%Y|p^ye0An6P-lLA6Y~600A^ z%|3=wlge!k+Ek8o)TNP?%U7Q0ZZNNC%#g>UzP#baF3VfA@%OeSK~FS5Tl}!RJ&@YS zX>s&ZD+?=k=`x4@Lm43IFisX*?G^59)jD?y3y+w&MR)#&dO({tZYl9`)h?Ow9UqH` z3?g~m*7tM73rbjm=FYmstxe_U3^Cwe+)-~!t~M=ayERS|;rL@0^+R}n#NIYrWVfip zWH~SrDI6{{hiQRMgF`0z7;Yr&b}lMsTn!HY49UY=StS6nS-@hPywEqO_#eCXJqfAX zL17%7QQ=ydA1L~wl<fPuy!;bo<MKktG5n%u!S5<2_((vriFs`-o(c&YBfYT6o8|JI zMWwV)NcUhrodTwi?n2Oul2RP6#69_~Uq^HqUvsKI5wvB}7kgq_mUHUZV~Y3&dO<7` zz8MidGJoW=(7<kzKQ76%?ZA~K3)c+_IO#QEbgkXpO!qPs`{<>r3%UGd7;xZi>gtTa z^-3KG$Y<hfvXQ&#<?U1bcc~s8&lmWPD7gEou$^ChTa@2C?3FJTQNM0sw<nWn|42f~ zT*V>uS&*l@Dy`STV^ZEsFgcLj^f1FHgM4(3{{ipm5->A0obegvzP3VMiE7Pk6=QEw zIxUUdGtpaeN1ePl=bUTJiQCHjDOB3i>;Q-E!HLoN=o5c>hyL^2ZLR9Ot;jaA=2OY1 zbcawZoE131V?8q~+h*D}QR2+>?Z?oe&PnguAn9n5a~e!~AGjWmrjGWl^81v(ww+!M zlXL;Tkm@4#Hl}JbIQu<Zj*FL7xkZHU(bb;X$P?1v^R=?_HFRV_P+P*_yXmylPt)_B z^!xng;20%4#u$@{h?3mJp7)<}c*=ix2~6rOpuLd_(HGE-ijK+Fq$(iVmlx#qi}>=A z+|z1*19CrdsUb_U&4sp?1oR%C=mP!o{WaiB0C^`6z~vwS8g?!UNJ{e={pu`j6*#HX zKwzEzOK{L??4r8(BXoHTH9F(0u$~&QADXY*kMjlp_8RoPC$m04D*gQtBQRp($a3fz zplL$!a@agXhwSGFKk`tFs4B-OrqogA&q=|9kr!V|TaHP!;diATP$^_D!Cqg+?x_OW zl~>8+W)yc^6e!F%FcUtoDN^EXA-#el`c#^Qo3WT<myp*aO|+65C_p+eRt&BGvn(Fq z;^fKvUgnlL^;b}v#?TFw=asY5U-Ndz=pucEcTI$T&J)9vACWXQ>m0fNC5`UIab_MR z<+>Vg85?`WMO1<%6q^h#5B?MaLwz>&6Zeeq+f(N=4zZ(AjZ>t!Mix``H@H}&V&lhk zLkg?C#ZIOMHlnlWfeHx=t-E={1&3$yw`b4yW2Z=UD;?~O_0)WiR1AHT#wOP63_QIV z)HI|HTjcSrr|HpGW4d0S%G}}7Ni1;Jl0Xqo@vX@AV;0zDz0g(fXH^5h(2$f2UL{Q1 zN_Sz(juG*WHjd*6$xt0sm6v+pM`g2Gm*ozqii;@JQ-z9HBgDD8ptjD9<ocs$Cg+V_ zr-d5<dd+Pnuct>i<yugP6GFZ?2D0KkB8w2erq(<}+v3vfMC>5qtiW}v#pi{(8|tfD znbP>ht)yH!N?UQ3v9Ewg@0N_}JeT6dakEvQ&2QOR)&2MJY#Ld*N?BKzFNZ=6jFUyo zo<I0<U8q*gD?II;WtHN26~xK<x5_S=?S?$}JZ-lHF66qu`4r=fr$Ps@ld|Z=+Kq#w zfbikgs~e9Wmpy-=yPE${ayo0`2hSY>g2?|z)LDl`*>!#URtW(WX^@l-0Rich4(XCc zy1VNV6_6GN80pTT8wLhxX6ViVrF&%P{<xp#ec$iDIgXjR_TFo)o!9=Ii@BOG4oBT= zeaG12o{nwh^8HqhEe4g<6jZ<c{)wf9kB2A)A5EH#DqX;CMhH4%&;FLFGXC?$fA|RR zA3!hsFMs|S1rM5O$=t{p*Y@Q-EySE|*<5ZW-OO?j-X0F7_nb-_TWZh8(R|sR^#Fwt z-le!30qi~<hVn6a4*efyfe{G&A~lwwx!}l1<i7%^2)FCkXxy_uIKF~h`MW-_fk(a< zpO?mj8|W<Zq$JxC<fnB947Ar~)ePL|2BS<L-f7wP)CURYe<GdsiD`7||1s;bC(54v zjFQTa({n86(c;5%FSko6Mk1+Y<|~UExEE<3<W>Kpll@ns2!6qV{S0{E-7kLD_ejES zovujP+>Q|wN#tKUS}BpI2(p$L`o{AD$Jp+9SIqo#j_aCmW9b=Q@XP*Bb$*>S-NQs+ zt9jC{*=Id3Ytz$N%e#e`@%#xBnXCk3a9%t4TnwiDSo+rML<hF6vwAA<a_-|fWcM)N z^C~0%m^<^(-%;KKFbl@fJq<CLmMn%)f;+!rGrFdIo<*ISA{(pcDjp-w(>~k2vU^5Z zAgJu34@;n#c>Uw$+)e{0b7}KT$BjyZ{gyRCjn=ZOFR4b!Qea(*?)BJTa#zAg(p#Sm zBb{h+46M*!n1U!Tjv+OD#o+%mzWNCU*Zr2LhLSGHC*7C_N%<WXm(AEusW~CYmN0hZ zVGBZx{R0qOQ58&e34@hMb|e?iQWUN|-;Qy8H?Ymv(kn7pHi_QxGpK%_V{(b*pePal zv|v`TXzjViiC4zElCM_(D&wB0=Ja0HE=AM_iI|c)y|mMZg}zGsujk*gU>gJEQjb4< zM6ybfRE->%Ipy<D2f~)pjEf4tOl_%596j1w8d+HX`}s8FZ93nyTHV6!vZA2`wX2>l z6g_;xKdAn^*k)Drc=BrE2n$y}<(1XGtVe6?j$iBZVe}-LA~qg5*&l9uKz(l-Z{Sse z@|axnIng$W2;R2^EleOSuDR)g^EfNoNz|Hns8P<&spCG?nJtjTmJ%fT0AU+0@;nTz z8HyeKF~|9__?6F}bp>{ZLp|HpAm~w4Q9bd8J=fB)7r2ETCO_i@?GP98U!NuZE9}|F z2t8?fK6!-YY<}qQPZ4OHCKx()-yMa3PN#CMxU}W5abiwh8L@wR`LEH1S$=Rl{`7NK zO(YAkys;fth1|f}mpy%d`k0NIWF?ZhUb}y+;y{rB@83)Yynv7^&i43<u<Nx%33Wg7 z6Wdg&*buwlujIbcy>-I~A7M>yvk*JNu1wf6_*$;o)jS$Wt8nxmtO6ZA#>5J$%=E5M zM`XEt^!a*wstqOwdeK?i5GJjw(&?4{uNhO4^qz*1e4=zZ5|t>`ip{qVez2w$Ig_y; zb7qaI*pd=+m8o8|Gb@>Mkz_?xYLJ2Z*Uw6Y#pj_qK9?B$O6u7qMQi{5$56s$bRAG( zx9c=hffslet@S1ai8yHC?D+8o@0=A(5rl!qZ?VV$pX|>!XOJ~L#=AqoDAI?3dC)y> zK6Mj=XTa^={zpdkjP6mUgZ6`QDkF<F0twE6lA<(cqSgw&iZ-L*9kl(eK}`JJdCByS zLVWEUxUIg$FJ}o<O+{Y~!3e*rUyU4c$v$10&RQ?_sEHIZIsCyE@qb)`v7f-NZ)rc0 znX_=)H8{V26j^SzN&=;zyp2o6i<8*r5#gXlKLIWdai{c(y+*ZSS%LNmu#0+LhqYOH z9$McfiPr~Sr}@w%Ir~e~{^_w%Ce^v>P^;bu*LC`dDOXRZb9F!_U;8+?QgSAXY_wz? zf2)w&e@CGt=0l~>zqL332o+zHMXtD9x@>U2Gr~SYI><ByZ+l<9)%$tTKC|*6yFp3I zcU6!>CIrBFcB&mbr@oN~UAekmx{=>KPp%@NRN6OhUG8jk(*0BhW6V0!w%D+v2QwC| zDFv(w4#sbA1*&W1Jdrd}sPn_tbuwZ!S!wLDvib5ETB<WX@$h?qL$>Toq;cZUsA4lY zLS&Efx27XkBr|s=NfDuzb4e**W;VMikx7ZtyhJYl?VmH{D=<e>v4{tV+adp_z06G1 zi=?1o(?uIwAGM&}2;a&|WGUNRrUSI(v<u~>DMTrU{Ac{@+|0=mrF?AL`u$vQU(sTc zi}F&RhX>zft$8ExWD-a{ICDi(EWl_3uJ=O`&tbkfX_FCs7=ytY3;M}2_jCHEtP#Hi zFhtr7=j5%M40hHm$=Sr-PE@RNA&M81^d4%ui#W!UiJG93Kcy2W%M^Hy9FOy2wg!zS zUvPR^`1WT3_IRpd+;UxBRg2a3t#*M&c(aQjN&EuuB|zcGcMCQ&kgg*~xjOUveNG34 z7chNpdL5>IYP$8=VXC4Da+onKe>vk=#^SGe2Xjxc0rwDf(1au2C3p%b4HmB@TL-+# zy3#m|XTcflaShFGh)n1xJIZ7Fq_8yQEUNW9`N7YZ^cwu14&-OUS74M4FVr$crju-r zlKd{sfs6t{juquQIm*b!nHxPXJqP7M{mpqdk&eaYX?v5aksuKoCH`fROOw_E@9n@m zpN7TFzIKYajOx>r79%73ORqL>Z)atin|Y5=YDk^2CGgl(`9QC&fkDplat2Xc<|^qh z%LG<A(8%1lx*{E88#ZzWAxdeT->ev3UKttzs^Y)Pd@1#NnmH-|+bw?itD8&cd}8`0 z#ATm_-ej^>8?k2Au?v%iN-&T-?5PAKQ^<r_(t5PfJ7|->^E9}4nM?Xwn02nRYLj!d zNI)q!GD{Kg7qv_(ZL?d7x<+IZ@T}ZjI;gU>TQHP1gTOb>;cjT_;fiGSJx4*nWy(~y zX;>}6+4ET9T}9neG0lz&&yrSl^q6y5y|;H_G>vr!5$)13*}cSddk*%?ZNbpQ%naYf z=M%4PD-FJ}JE=q{7ULQ(oglYMAFJ-|Ntp`j8os8x`gdju^J4z;$(w`aZgkfV`Li;8 z0ovnp+QhK}O*xYTDcOtvoz?-QN%KXbAH-0ZMB5#m-8ySgpwe#v<J5t9ZKfNXMLVP$ zS6rKi^!1w2r3vjf^ZZJs-xhe;LUp{x*0qNRrM$%O`-%Q!q-QG@a!=lyVfkd}rP&!0 zNHgzYcuhPa!uHvo&-)|DLb4{vX#Q&RglSF6^w9Cm31&QNh0xAYt~)Q)ZD`1;we9KQ z%gW3H;)dy$WBhEQptm=XnWYhiU;<if65z$Z<30RSRV|XqDbe|QJm6_Y6Gj)&#OVjg zlRqKD=*O9~L~$RK%y^O692q<}*jMJD>a3CNmP;&yY0M0bu%K3ruNOV3K7&pSW=MJx z@$Qb;Af!OU>+H&^{AM3$flHwiebSi`+hSDA_0EbunOYP5Qf`b|vP!@9JpXtU&`;!m zM&OVLyeU3qHE*2)ab?E|YDm^j(dCoDnF|XH#F!-9#;s_TuG<~#dirBtY@XNWnJPFt zO;{osI}Ci_;+#q5F3jyjmw{$W{e~0Ns{Mgl4ek*Y)hw-snuOwluDg)}iuLg4wT#7G zsjOmG^HCzJ^|d<43jy@Tm*h|Y_Y$!l@X3-Wvn}qcz^B2~3Ue+Q_j`Fj4BS5GRorK} zXjMye>%Srm)HgozTaRCS>B9U<fM}ab@;OHc4gaL?>s<ojljVTYub{?Z&tGT1)?P?_ zom}8DvdBYYhg7MELrul@z40cxYi6&~cPYiEbPBvftG&n77#+>08NKp=WZsu{YQM); z1i|yFkq2=sVcUL*P|Kj5UoM0wC8TK$_c?aJL}Of14A7cy@l{7LeBz6i%-5-c!v)^E zjY9+~Wal{vLTDVi@*}Gu=OiYpbb(XO<IKCA*QTiq72H0b4PAdJiSkF>g=Z28-hvHK z-y5la4hL|vFG}9Q5g!54ZjZOA9p`R8dy53^jxU;CSy?gr!*Why!zSQE@0#spitlZ; z6CRQIS$?{W&4zlsRu{NDex$Hm?=N_uTSbuGmRCymKU9Ly<EURJf_2MCVO{othZLs< zsD8cc{_JI3TRz6wm@@@Z$@Ge<#oa%xr4-6Hf6qHkmxqS|*EUHRRhNmWoz5WBW+ezm z-F^10*~LCGT}HT8>8yk31jaEloSD8dS5mLt*ga3nf{$^JDz?s8A7Gwe&bJfC@CpAl zU?N_^;-swrMMqwRt3T%-QdG7<WQ)v7dv=prU9skYEjFHy3l42tLavGf@{(=c)@z-N zY#WD}>QsTpPmYi+HqNGY%s;Abg4=__q3U*s?)tm0W&W*@)u(aox$1OH+K!Eb<wJP+ z-)DdMLR2=U8T|uccMHl`PsnE6qZ4xz&zu`$vb5OX-#7RUYO>t_4sE4N)U)l^jF|52 zxgQ5qxCGSAz6vbn7A_F{tD1P|P#$$s`5c<dc0DSK2Wg}JGd-trRn79PecV7p-bgiU ztp=rk$*UGKn6g6N@O-_m!m5O2$B5N5d|_E$TV>Qy#!pIaBlJ_Dr<WLo#?U*we+Aww zzz_S!v-i6>0v*2xE%DLF-T^R6)T^H8eukF!2MW*wH0~NO?vk3B*dfiSq<i;qKVBdz z+h?%(rY6&2R^as8;`;QU@*uRiiVF(Oa?8+b`arwUq-i3^frPb6x2sPL>o7l#T=@WF zU(sK4Emx+Sc>V~)o)K4EODT8ms_y~?+T?M4d4s_VvtAV{^wnbo&$KV%sgv_HXmN}M z7DP8%j6TkZzB}bl)$yQ`bW>svhT0hy<tmCuQmd0WrO*ztXunsI!*^$KZ$iA!S{u0w z4AL^^y{s&W!rqnPq(;m>MT%zEYFgLl?IpuSDPBw_Cu1vRye5n3OJh_RosJI%#E?6g z(Z#A+Z(1tt-_w)#3HMzd`Tmoym;u5=jk&(C6QrMlFPlA$NzaLLcZ{BPC!)1qU5W{u zl>E{ALWfE+vB4-ZyZ5kQp>1nbN80*bDj)k~0J$2(RmhjgI~-o^j>Ek0s?dZ^lCmR$ zTAA<g5tA*(LFt!gPZo6qeeLt30D($9Mwf_~j)|{jSPH$l=~%^_x|}?kZ$1#NH7k!m z6PsfaHGIOUR80`j*E=UUm#qe0AN!9^f1jg&n*1VNS#VN7>N28|DyQ$Ai*j#0D=4{& z5$2sS+nBL9Cv|4B)Ajz~Yb52&fSKy6tR)Yn)ztHLGMfTCxMB^AnnlwX3mB}uVSmFW zERqv*k9y)r#PBN?XKCxL<4tnZiS-I})atJN+Q^6XU=bJp<A8QwqoU|aG(Pz%K6WBk z2>eCtYv2sa8n>|BD1uCBxKZ?EE~gP-OUqnJt(^OXZz9v4u+|;=_xuK&ygpfY_xJk( zE%DUA*ig<{{;f|e&~VEu3h2@YtfZ$<49&2@JXg6nR@$Qm^t)lL&O0fA@~*5Wf16sG z^GuG5B&!{D1xx+x4V)}u3=LCa4bC1`Jiz+&dF67x-r<p}=;@ED!wz7*u^4uf!XbIS zY%8D%&fVVu$fx?a{9Q6Icq1q_{25d#bC(Ao5nltR#>NJmwY6cuLY?h;qiD!JXMWY( z_5MT5UmvjFs$E<Aw95t+C@mbd&Qe3KHy?#WSWJxQ-5zl_u?tIi9QmV=N5@}mM`;$@ zL=^<s+HF36Y+6YA4md?b_SV@7dOqB0?r<dO`3Ej1&|=VQx#lfg2k-fnB}1cMD8+?m z8wbfBzG34Kl)45!G^r|u{5jN99~~UTXF3Fz7u{)kzAb4}T{=$5ss3Poyl;@2X?U;C zrI_Q2QLVHx=2K3d?iEw%iaBbOzK;{w_0HRxvuVP}GtziL9jvy+a#T;{t{_FDS>mzd zr)wj}n4oe^LeKScM19r1<)#OJdG;%8J1NdfEZHQE*~1>_c=Hl_;!;U00T1+#1jdz2 zjvIh~63#}w<HruAQeB@2F`#=<a18RJw@rNbp3V*S@~2oWp7`@XjpC)%t_OnA7I$dy zJqKQ}I;oIVZbkhAA9oJt@sNq=`&5xyHFNz+^$++Zx}1*=Lt&4!c<x5AN_aR09s(7t zTjRV^Is;e~PsB_d**Fkh_NWiC>_lddu)GKjA!`2J88SQe5ttK-ruxqsaDY5Cmk&B? z4k{C&R=0*QN*+yK`bP=|OKM|NJ0}|$a#5?7sZC6VBxVZ&7az{Wd8x}35rwz%yN1b* zI$2)-yS-XqphKlY{=aE}|Fcb7PhjoL>supvk>#g)^x(6H#o7&HRtm#^Nk0F3G_%kC z2w8q6OLwG=CJUxdmLh&E%sA#nB1Qk>f(<Khq=@kQ|IvybJv|BgC2LmiQ&K;Vq8~<! zmZw<!=P_gA4~z{YpX`%|tt8m%4vUialD?XuBYT^;?tk6#uU8UpyhPleo_zlm7Xvz} zL+tqF!x%m6B{{R#izg%hhZc8>^^|e@(Icd6f8o6}24QZGt5&$v)Ad-Xy26k^GZt~R zZ-kPrFSqgkg^kE@g-Q^Ti%cqPRFuuIb^cFLk!B+gSlDGk^6&16rV6QXg1a8{;EULG z#fS$WCm%B%7~_R$_30%joO;S#ZB>w%@yX*$Mh)`Cs~e?m8s^b8<`9|py#I3c<Y_Yi z3^7os#GVf2<dKem=F^ijDds+<0BUQIWk=TSuVD88Y<Whk(7}!CnBfc;-JDW$KDl)n z^S3z0bSt(_n`vCMA20|zQl-)VJ&O4^KON2b_g^Qp8##O;*ugn?PgKos@}D5vW?uD+ zAZCPKV}f%Z_`j?tVNx|=C_Vwm$0qhMo$6G2^y_L-3@-aW59JuncnN=caLzDs;(tMX ztXQ9a`QgKWiE_$T-O!>h!^8>rp?5ET9(JCqr!|!C*s2qT_P7@P2m*h4!1}R097r*b z>`O5r^>QsSBUdUU5HdNckBNXen^1}G*$zc~mt4xLf*=-Ja7`$7BCS;1(+~HWFGTV^ z$FN)9j$!mkii{0AR=5W>)$pq+z6XzoVuL89)SnvvP0cFVk&j$a{UesrelrPO=5U=g zl6nH}d?=J!iu!ScgeHsouf&tj4H26Uc0J3azL5Z9bwt*`1m}ief2IqiA|xOE^-$$c zv%?qz5mI1`BEG-3ARCkQYu4eX3HVJ9P(sVc;IYTpN>pCb<~OZs$_E~)Zs5J*fd6!b zl7GomSU5QUVh_JO`$fR&KmUX$shxT9LADl(-DoEjj<fBt*e#TFq3pjoLAEEPTdE~2 zoAct4`g1n3yCf&5mtJSVWI_phd9rTW*A9}Nn)!yPlUk{*P`8VrX0|K}eFU-StVdQd zaWsCaiYb&|<isD#{4I1ZOeR~s53Up64>v#isrMh4kS3Ls08WfqcDoM?s(Zk6(B54S z=R@|xhxUE`RMP)MIt|?zedvy)gQnY$-V-{(%G*X&p!}Bii9S75GwJvPX5AiJfo_}S zQF}Z37JvqaNP3K3yC#J#Y9sG^&Mt%D&#&`H3jb|u294B{$7{2K1LVKgkM9=ipE#wr z2{>QLo&{`LSHbJan;zUq@<?FdRcP!kmf2l4WDQDi?3+LFsPgzr{Bx<bu19uHR6jrL z{QIg_m_4QHe(qsSy%lzuIf>l&9swsrV9Qc-5;orqbK;)8-)}Qptka;~#e|$r`ZDq_ zB^Z`q{2L~J97hBn2fP*8?;lpY(KGU%r)^-LhZ#?#^thVIq%)CPTviWz+!CrUBwTRL z@STm5Vu})|EF@lJZs$D1cnIH%(<fu!1=6c6JwK%%NQ)_8wC%#Enj1v2!akPa8QAeU z54{SVvI;u-Q||rw?Eh1!WCyrH+qn;N4vN0=65FT#T5d^^Yr;@5>g;@4BV_(|Uy6gS z+7si2xaHQaLn$*dky!fWoaW=}xP3eCVGhdX<A_aF`N#h*8oB5Oo<7P<@aY?-y7FjT zSk!dvj#ECZ89N)zz`ymTg~;>&JKQK*nv*?Ex}h%dvc%pUup%-2ST$dWw_A9|?OY0! zjXw*85&XPUUeQ67Shy-U?zvj`lFg2rm4Id-1>=Xr-Ue+@gl~!0pH2~y)8poqV%L!q zPr)*o?*+#(zcxf~WBG!!9u-0VgR8WtmovZeGg|%nn(CQ6-_>-~(cX1o%fls&mY8y& zLf$jwKhd;zT;C@ccrrRkdN12}b?^f920ffIZpZmBr<uMazaO1P*(|@{o*W%YQAPJj z8lBj4Vqir)0Mt5bFgZj2Jr^Veq-bz5f|O<gHzF#rg9Em%MkbHCjye`D4FiqVY7Z-5 z$eGR3XvyY9=bSr-$FPNCH*dsY@5!OAxH&%x8c_YpYr~x1R?yJ$tj$-jtU}+`<;BFQ z$gEzPue;G|Fqw-51@av0nG~irW#&7JAEX=4w2VYP859rw5wV|Fo|sqyn7cWQs9yn| zjSJA}1vGn7;)GFY%hTJz^0U0%$N}S6(8OK&f)xabxx%VTBVe>+@I3ZjvB=PrhXyUG z)<-*#q{{xvh=#m1C#G^>AgJ0)X$DHS#$+2^SG+tCsam!ft44fM`vIPb1CCJT)<wlt zPJ|*$o0=LN_%#BRz?lH$J(P>&nfwvl*koA!1=bXo;U}w@a(`i7#Dzj@4iCB9#*NUx z^l<V{KlT%+t%^CwhmjHJl;YMqtb+Ba&-MAX!w()G)j2523!|b|OA0u2$=jDh%25w2 zB^U2F2Go%m`)Dg1#ae*UYb7yVc{1*fE8GNR0#C~%1J|a7TLC+&`*bHm3&?al*WGGO zjjH##p7M;$d=q?iwI*Ypaql`wD?NQ}Ej^w6xW9Mb$O3|WSqHyRXbJ$&YxGvdryj`2 zbr;`P(<j1zb0U)3jFFJh0t&l#|2@&A3RUS?&;=#35c&MEg;}sRX}2YClUCs!5c#62 zZ~rp>3<jEJPYXdI7`dDq9l}C9u08j1l#5EfhGs1C6jvAa6@`Y9b)%)zyo<gML&~hS z$EoE&<z&I5f9L#%?Pi0hwkoY7TM5N@Rz5Ajec8Ze7p6J?R+!;XT32`c0N{&pOeWNF zZPq|cP7p=po`kc%qLvr47I`yo&0}<MM!H`Md@*6WJ4Q~i3&Oiy5WdDUS)4{ja}*FO zZkpruB2wt?Fx}8<E@3_~`h*fu6X$G^ml$FNuC^4<Bz@=7b)uidUiJk({*ldl8XT4B zx#eu(Y(L7iIc-c;%O>nLX+o{;L;YD(Sza-h4tPivk;QNBx`kq@^^6!Yg1K5<5efmK zJNrDQY^jYyP}>5`OOZ?5yH=H?PvDJ3ZkJVhNR1ip20TEUC$_Q5qgvVP?(jXdM@ni= z+(%!Ja&DYLd4ty;Q^Av8qo}XYh`L2XnHp7M<%+9xoBP3V^>K~rQ4HRu<#DST^tGjI zgx1HKL`u#~_T4sPrRPQv^0gP7nR@_5b)vQjC!wO6&k5JklQ&_?D(#rw@Gl=s6s%_l zq8G0V;{t2->SwV{OX*<LoT3M~m<-Uap0QSTiE4A7+();&Zq*%>!^`_!U-*aqa*<n0 zM=I&S?NZxr4D4lHmc05$1*mF#{w_&(l_Hxhj7*Q>xro<mrlia~xu{zC1(^ZOKw4Bi zHy*EVuo_k*7jZ)y+N%G2vbvgd0n!Uu_SlSJA4*fZ=JDJ35;rT@?q2cnYAG2nS^=-u z@r+EFNEF#rRaKP@t@)VNyjx)wfCiAKL#`;V+gnK0Ns*ejXu2O>cWd=|T4JV*&zyK8 z?Cy#(nr!)c=v?yXWF`CJ5CB&G?3TJvJ|-e6oM?hI#7b?}wnFtgpvc&iL4)*xg%+xo zBXz4LKI?R<VX$ga#)QoxT!<ijF7S=Ndtk?$y}DKV0uCGHNQG*pcUgYAhiBP|m~f4d zOK_o=U>R1$ekM{t@le!Yalbh*%aF*ZWX~o3hPtW)Ai<&r+^pOIO=@W1F?F=V5&q~| zRd6hSd>9|!7UT71I_01hUvXf%(_xw_;p$nIQRUJ>=Gi87wC$*i3l~?K@0`1Zi0-7E zu&7!bY&nj|(kK6pD-Gs6-hS`BxbMt+uw?D*(NqT)_!2ydSQKix3l3J0$8;w6+cg1e z#HCyEeVp~`ayA&KDrh3XXxA0=TL|L63j8`-cHAyE8Br@uH>4~BbuOMiR)^}2OJ5>Z z^giIBz2!VkUdkfxoSD=@Y&hB&a_@4cMJLXv%dDoB`4(nQf9EU=rZ<K;Q>I4`bp^$@ z{yFGlFg+WmxP};;)BYWeO+UN{=77dYp#t!(rar4IrluKQdA`}!9<7Bm&aL{^_yVug z4S!tBTQ8SyT)R3k^He`$S8!%i?+1Ow=dV+GNW~TwwJucR2)fFqPe!QmRbQ*#Kho%q zdnt5+SD`!UrM`c?o={JB1oGKq{0ug~$D#n9(S_mx1^Th*20OGo?C?ZaLVPM8H>!W* zFrREGTTlNPQz8Co;of}9#YJ6!?yN&ejMjOO*c}(*N&7_lwy=00WLw`+N$g17(Wpu? zrKb)RNVYFct2O%1w+7X>4N8ke-xfC$aYDIpKHMmSaj&$47R^%Crymr7hAce!N|pfk zUU0Xzwl<1mprtQ&>#X@}YyZ`&(#axr7WL~+OkJY)<mY^6gV{4Gh5cHGlYuTNHJ8%8 zAp~w}S@cTng6wRW#43_D76^((?8pA&pXfE6zy4y}@&DV;z0^pC^Q6Mdq=iuu@s7Pd z^sRqDlkezDg<0C=HbWJMDXj#&(K&X&bE7Y7|C6sskYms>9_%8Q-0_L_q^TKoxzeCl zSE1zc`{UCy>-|Xyp56mYc!kREE_D|sqm&u`V}{Zs<85X_p0M^Y0VX0xo=7)A7;7oj zmvN@R-13D+L3>ZZ>1WFGcp)X{oIB##em*L3OpS2#Zf0BSV2C}$Hq5V<>ly}(3+S&N zl4lpNFyR_qDka7cG291TJ`1mlQR8hqPN!98FY03Q4c9;NC`l=stGo&wgq|FTJ7}>6 z==D%>SVX7N?38O++E#hD>m{d*4vcl|=9snSeGq=bBKiLH_Yrl~cZ1EE+9;jUydaME zM6}$p+1@@FQDHF?0-J$hrHFhWR&}tE133XXi+BNZvaZb5a_Gbg*p0#6-JvW6|J<n` zgiQ=?MyRFI%9};+6mBZI2geqLc-Ux1*aR+lrJ8+7jI>QP-K`W=bizbiAWUcKu3G~f ziG;-`J>qo!s_?a=7UXvna{{Y;{=QR_T!skvmz7v&^B?c2S#~2^C$E4HxppyHgAE(j z8ixzm5spTa!HBRx=q!OFE5bckFKj^`&w*9#Ad?K^6dx^Lw%u(!4-IdElzPcQnO?z1 zNv7F^F}bKUd(+PX|5!1!s7>N&D{w)Kd<tD0!ioA#C!u|0x<b(3VXm4BvqaoGnadw1 z`=dkJxjC;dUolS1=K^sn<Eb_h`fox4{vg6AO^;JnDY;wG;ra!~(AcWm>FJIesnRn) z-dg%6y?c7sbjjIwAkzkUCv-Yq9iD5QfPe3w<;8-(`uenGqH20U*SJ)ZkdT~W?}zbH zA>O5o&(@GT)T#qVR-jZrx^0KN$q^%uJF5WF&umXGN+Bt^2~-XS_)u4oGSA|^|I-{4 zU%-8yz3;2Hi=_IguZ>!O-{#$W#|F3fdjHhNa_9r^^}*&IQqzmO=f!C%BW~PKS8t!D zg|F9Dx4SRr&Sp{5>i6f(x1XCeqt#%)oo-WTMD--aA*GYY?GFA{pkd2smID%Flr#Fo z-x7o;dS_z;EToJ)D579KN9&uD2v7$Nv`@g_r7GM1(0y=GSMYA%N9A2&d|#yR!D=2g zLpv=?>{jK&vL|RmtE>6<V6K5p<}0A+eSk8oTtP+g<RYTNOe<vj#pU@`ZVyKWfW)CR zI+NLp3}U4$By91$$c-%vjGj4{58*^V(aj(VHvJ21=1p^`$11>fFRqsx6W@E7Zr|Fq zi2q4^{wf&_);{tI5vJiVlz1(&e(yk@3HXa7QI#r1>;DmJXXJ6UH&F0cjXv(eU6ICD zhu-AIXJ<8B?hY5*RuPTfr%o<hk}1FpYf~@Xh$_VH%bWK08dDcZ7^}D*nLWP^k(1XT zU~UFfFqC)m-W!dw9#3B$$PaHMqg1KCnKe*A98U0t&k!y?#>fx;qL+O*{VG(e$l@Gt zQTE!`P}GL^f-sA{Y1_MD8}9j7AtleOtx}E0<F#@XT|y>_PPN`yxYGQzVNF>AM_ocp zM{6a=T2BhrR};*2xLB3MwL^>Z7T<eTisu4LSSq`vQz;vNJ4q`ky3rZTuR$jQYR^D^ z^D9^6kt|>q`3V`QdnMxxuY2=?kzPWVMxwYu!*-BA>C#nUV#<<so+1sB%rYX;Zt6LD zf1&`Tnw+E;VA_LTeQ^W!P|s6h|GpL~i#M?-M8j;6NTV1o_Oi@5ly$L)NsYtW_#<%U zuxG&?rQ@pV{VtC4LMedPnfb09^pg{kq|h7jgrEK1W228!(oBnoImY$<pv{)?u;-=x z8A0k;z2g^J6h^MGxUw`Xi)G>d&1}DwwimSy;!6H*pT^;~!A^D#lR3dU&tz8Cl##_^ z)mRHo5<_T3Nm(cF#{_3n5t*_UCIY6}BfQ6}8Cx^y&8S(Mu@LW7b`wG!u~YO~+^_F) z-|Md{X<(PIiz<UEyvH?#a8bVmo)r?#v-N<mnkjgme#yGMse2-B*ASHpENbvBLpNl8 z!;tS|pGMIhKnT@pA&7Ll4Aww^*Mk{nz&vV35~0Y>;=;zp((=A|T7Dfn5#WkwprF8u zXWhfav>!?1v{gtoJ({)7xLB~+=)LUFo(9<+8YYy!-*zNYe?Nj>u&2DqRTKWwfD~XB z`24ff>9G>2e8PydbWpD>j!$~Q{NE^K50@H#Ol;M>YCYx06}Y|QKc_C74bkNt4)yEb z3iBJ~l=VbJxXIE7vsrx^b^+shRYDcS$R}x*4_ZmF@lP*4F3rUtSe7@={h)d<bFqBp zhD>Yr=Qf3dTyG*6H5z|^@iorF({kt`XK`mlPg#42yaBVG1#`{(U3NwIJv-r>$s*WV zT%u}zlI^mDqk_!ZwlfP;Q$ABK%+HB#s^j6ri+-OO9dr1_$7znCbqQ$I2RZaK%Gd{v z^Kz?05d0dQo)*IM#%)QviW8#IzfMg`-;xqA<5cP7>P#^*^%TkT`_$ak|I$Hv9lWBq zHOSTE%j(g&a5#P9AtFG8Fa;HVy}i4q%k&&6xY3O?5-KX_C7*f7po`Oz6T5J%zJ~}Q zj%_*%Knm}LX;z<Bv;o9rdVU8v&z`3LsVF0or+%h~XK1()Px8gwOSN*xbL~}md{f_# z()TZ)wK)D$@z_=G*=k%>qU|vQ+4y2qKfFH{FKN<*E{2a`l*T4+LNnlvsKw-gnO38Q z+hs&UK&BwOjr+OL%@~dDde7jo9-|R0E9wpVvCF+W7;293g(noanLfHda7%(s(3v5U zGn2rn>TW8(gpU+f%?oWg%J$eNDn6~-S@2gzx}qQ-150^$;00-5ZJW_dyoLI<W|a`S zok$;FY;g*j8GqQBvi!_5wgy(G_FuD}s}bFaE(VK1TZ37zdY#$!>J{O^mZOSN>+Aav zSakUNcN!KrEW3b_cY<eV?UKd=uI@mwE<ne>mKWux!74UNn-YDqMfLJX@eS8cXVjRg z(lus*Q0@+4TLMwmt<d(^*o3TxP9aCznlFZo2vyT@YBFWi#_b&0TCz!r4tao~fj%a( zkTLxiV{W}`RpR=YMdH4iz|Cyd3*}rvf9dwEC%fOZ@W}v`Kl@`4E{SdY?7s??qUaP^ zk?Xq+Gxe*SweE&S9BHi=uLrqM{CM)i@aAd;b7_ASA2pB5@fQ_q&7kC1fxd4MV1<~> z{WgMmawYHXUMz&#vn-*t$EF2UIr|r0BbOdejcW4ESAXkPo!V|qmlE~glAtKUXeY5* zriW5fry+oBNSCUedrf&DI8!yCy8cbEhK&Iyj$A{Vn8zMk=DZ|1Fx(}07k=7htA+|b z)yD6T12!vG>gwM-9vqYz5gZI5R6qHyIx<8ZvR^nzsIi=7ZA;1jMwwwVGJ{qTX6&6b zX_-zuEwkssoXGHV_+)w@3Ke*lNejE$0gXyrb_1^W3zK&{lvziVzGl~Dv_ZYd?E4Oy zwrf=m{kByDR735hTmFXa1XwK4aPK+ye4PJsmeIL7a;;Q6Fy*k>-URu#<G}xvdUh$_ zm3*bnYF-I;j2S@K)LULBfP*jTV`2p&a3oTquBE)z_pu<Fzs}@yaXgKp%w(^CNIf25 z26&YpI}-6T3S922>1VawP0hku&{?^t+gQMVHhuYIDEm5sw(Wi%I32t;J!*Gtus;67 zbs3Z&5@dT!>0&UoxvA+t;dOjS=KSi^1m|61mC}li-i!-nlEIt!aYXG}^jdu1QS*|; z$<j?tqVZQJm$+=`B|$pSzgkl~m8yCQ<Y()ra|dV^hL=aENKThvuS8xNrN^i=;|r8J zLJZU2G~3qaw|~-fvXI#tfqTNYNF|}AE~M!l+j6a%g1kQ^jymOIN%@3qd<SR3oy+^z zdUn)jCExM9)6OJqU|5hBm|qe**O|)e(5+pzK9(D;;z~G}TgHFq08H5yTBI)l)bmzt zT>Y&gX`?3I-nucMkIsdv`%4WimkdDZ`p&JTR*1HfmLI#B=WG~C*^dTH`(mTGJ9TZ> zZXw&@hba?yJJl%D@K`x4-cC0lE`uM_ur&W{Unv6vl2IU9%n=;PxKm>mkTslbBzn>9 z0S~!Jnf}_(^r|E*v7I_d%v2JvAI0@p{lbzMm$c3W@*1j8C_fwS{L{KKjkXrg^)LK> z-BezQGx+<py30ls-?(bIEaEB*6>V{5n&+JW_zg!p*N|QhjxZOFQPm%`-sYd1(06G! zl@Qb@b#|z<T(oH?#1E?{L2LZa%0(5TMv@j*n4<~`GK8ix!GsBCH-13->gr~VWvwCl z=Le(4HYZZapbwe4Rj%qrMFoNOM8bz>l_*<e%U>HS5U5`O<+X)K$8)flT(J7H-LgE* z|1_<SmaEC)mowT|^6aFc-^x16FvbZzU4^)esDrm;%v<f_1=mE*4!RQ#n-tPUZ*ry9 zCbp=wZ~we17&2*HiU5o;`m!`9V@o39rwasCz9WK*+&*G#WYwZ(-XrY6M(01z6dEUp z+K-MEruW#MPzQtE*Q0yKc6HC0FEv{O6cV#a=4WR0;5kq>m1=}P*JhY*B&Tf3n#W-7 z?KGUbJX|GlRr2N3`E23|=d_+_DM!-j?f4w6XoYjoc8@)07O)M?bAxEwY=p9!+!$PM zkI$S_$dDLzrXX|R9NzN|Rf|?y?*huril?G6rIl&{e|Iu5(_)rSne}~2<G;#=z=PkB zo(;pLPAc!xIJB|2#k|gcH<V^`PTcA&Gax5d%JNGf;Sszov*Yv5iIF6XAx&3U%|Sce z!S=@DknI^qp!T7|XK?mZCSfdzzjC&$<ykG<HS~;3G@W&3`%t^_?KMv0`ni#5=@THO zvYX~vSJX_)<veo~-o_}x$Si|w!5KXzOJ3H38Hp!uz-JpKGan$INuZv=f^Q{f1!9vt z%D?e*JYC%F@^BDld<4gl2Ako^a1_ztjXvWzwI^!?j`pFyWxTI*l6k%P=u3Rayt(4S zaSm9gL3`hSYElGlEBO03u5%}Ku-l%S3zu>ZFPH^yu$k95mWvZ`_=WOA%7dOYB(Se{ z=8aFN>N!Y*jgp?)&UMs-Z1K9nsb7ToT47z{AXPb1Aj#^?v2#tPP69Z(BAf)*EKdd} zYm+4b^=lS{-ubPYY~sP!TeN;sFPn;1zS_JxBk!K_>B^%OQ(#2sh`dG#A%7A(*Oykj z|Cq<<iGC+PF~N0E>hHLW>DRAL7uWpkO&=F0i9OpHqMQ+Qdy~P5`jt-E(1cq+6h&9; zd&#Iv`W|M)R!7jU`ugQ_bcaS#S06pm7eb#)pq2++6{kX|`l)wgp&75G!9aO9r6ZHS zjAi4sqB|@ts(wN7#nOpry0>(-faj@fqMVG<yp`mOJSjre+PzC&c|sm;obMUphI059 zVC6pqk=%+pAvnXai=HyA96pi)XtIN%<Q7aYS73l$y=wdnOH`1Z(>r&=$9zYAKUOHM zfxe~@p>BJyi<7jD3US%oE0#)`YZKwUyb6pNt2e~5Ri+?D&iwow+xn+fVym)whO%7O zF586<4%;gdP<|)SZN>=;wC;AA%UN^H_HMwpT0A9S{?Yw4ZpP2(SQdkUhs``wHBqu6 zKPN>i(#ZYMN>+-!zlTRi&wvAYgF_D;koDnv$R~Npyvz?=0%Z5sDXj!N#F|J&W8N68 zT-;ePf}^Qg77QlVerF=(s2r6Sqg*yIgrd5l=Q-8Hf4Nhn&$lPe2iD+uzXt5xw^e?T zc?ORyLz;&DWA$Gd;Z02^N)Th@5)VdmAkz*bgEjJ~x8etI634Vu6ndB!NK6PS0q(jr z>7mud{#Bw(y~+d?E8`HtnmxAU8YRpI0{?{zOcX(b&KnF%PvuM=pWk7N_Kh37>qYx5 zA&7>SK{?9--WvRK=GK@Pf~~xL#(7*}u$8N|9c9cQOUr76z`O|2+%eW@)8KkctFo5# z3OPSfNVeEy5(B7Yhr8uY&-qO+@D9!%s$=ygsyJHq=*u{l=-ZJxA<EXVjb@WPrz8xY zq#U^}L!0@^URa0_?@gJea<L;>XSqmKTi?5#&|+uSPZr&aqM@4KCHR^c_3?e|jaYxN z6DWP0k&7Y~?UjpSnE1qNXZ*a+IeGtThQwkeyrTY%%6KlPbFr*}FKTq54iK%$p7HRn zEvISxa_JUf`mvanphkq^d16M}n<T=;fhd_kn001?_de-<U--8TJEv|NIlZ?+l5y#^ zM@+i&RF+oq3my;zoU*|pUWHx5wmc>{{@Zc4bxn$4vf=R>m*EbK+lYDU@qit~T~S!w zXMccJFF@$6&(EF^E!HF5RiGa4dj{UXpL_(#)OISvVf9*-p|hzFd;g6v<xniNz=qn~ z9pS?jW|4k(WeM~4@L<R6#jnRHZ_da;=w*HI#x_TNahvPCT}yD?;@xxLFsy~EDF(Ur z$W@RC#E8~$iNVUea^x%he{o~+dF~G9!U7!hOt`y*-Fqh+sB2K}fZIpMy4?Z{2Pb8< zh^f{ER#KOVI^L?EB-|fc8s1s@2dXI4zv-npwubJ*>4lDB#RCahV5b&M#Fr`~A7F+P zgy&24xygxgv9skLBQ<C_NhOXY#0zMC1|C`V;YnVk^4$%5F}Y4B@`X?D#1R*lt5QXK z=^-x$=3q}4?tXOK0crTew(6$h&@z2J{kA{J*+JX5!dY+dSI$Bsg1uMn#bI8k-CCAC z2D4yPS$Ra8tzsPRDy1zIWUj66728{Nu}|?V=T#C%-~0y8S2`GttcL~=eb{<aR_||% zS+b3Rbx%~_eG`q}UvA0M*?94@Y}oB-Rr_kOOWXYJKm=t4(DHClsCp)xUefek6_>@< zB0Z-3W<6<6c1B@2V3@#wIiT}m!i{dt%5D^L;NDBQz;LrKq_p|AFoluJ#U4(&NF=*v z6`u*+7oso#E}rb67pBG+vu>o05Th_u6bks;37k!IgbZCDHr@YmM70IHRuE_zJ8MF~ z4b$yz&2@oYp9Dn-vBT?Q$K6}B&Tf(7qU0Vtf7VA;9lbS?<zcX+s#A96fA`U4bx*KE zu@qH8V%?>U_WeM8&42kOp?ojeK?ySGa#P#A)9C9!<b5;FUjvVTI9vELoqeA%Qc_kB z(A*N}Wlnb(xhwKh2KO){=GR3XkGJ}cPsD$%ssi@uOKq2n&T*i;+i7Kk^ly&5_dRRt z;|joalQVjAQ}Qm~iB{JoK2B@Dv2Qx=2P+eSm!pcc#t^*XkLHR>AG~I1-s+^UU@&q8 zrkeCO<v4c@t#3&rs_f7g`MF{(ZBBNROH>7Zdnx^vU8N_{eN~Si@ytNMQXa&0X_Fb= z1o#uxmUpJ$)z|Lf-%8CWxIkZMrEp?xBoewM?@A%<W_4+BY+UO1*n8Dy^hcm8Th>1Z z0^qAbe;AJOuw8@XH7<VkNV4`;L8g)q{&qG^*LPTn)WSK@qM$cI8HwI83btQ=zrI9v zS4a|DV}_d5scG#dL+O)U;B!B3;-I8(fCbjl)8`sL!&#<PqK1LF0(=eFCM!+?wkv0= zkW9TEUctN}@f%~Y>8VOpps3Nsw2EsFBF)S+%48MUHDNWqPgN=tn>C`-Ep<oSKO+?z zZ;v_-8gC7Y`S)W~CE99QxJEm_+fQAPxx~fU@1$7qnWD}?X92u?o+sE46)I6+Yc=-l zeEs9{tx(Oh(=yxIx;EmrPZt?8)TeAhOKF|ad|b(iA<Cyl6Re}w5Y)h?&)o8grSNaB zWPL9$u1R49tuc9TV`H6*$?A8tYyIJYT*GBm^6Q1$q}NAZQfm2qajaJHodc@9)#dV% zxkftPqMJNcFBbRt2(=_kw@Q`)y$n!^eygtv@8WAz&t2Lc=nrNCbNdy@YxIkoQsRvj zwZ(jgZ)XvtVW4S`%vpyd5%sS1WAA3YPXbt%Q5SRVMl!)Ws&AQ4#W<r9GRt?>!L?&i zaMPT(;Cuhixjeqx;?>N%8hHOzQJZg+XshY2$*Cx$wBYP!Xk=zi)43JM*D~O=Ix~Jd zpbFkQKOQ52jpwVSbBb?dzi3Kaz@^~Chc*)uRwEK6(~Dw}><5j9R5^>g7OG#@reXM6 z%qS7t>W5rYP9fw2Xo2~7nalSwtGPE#c%&xPd2I!vTbOVO?nm5e6%uN5ve#P}nYiL* zn@-BB<#A&(JS>#{DRaOKw~J<*gD#gaG(FAJbTL`0Eqi7!r6w}>#@Hel=V`Wv%D~b1 zOkKQ@=$5D+7sIE`j|wfME{PchJvh0s*wfiowegd7`Oe7<9uG9dv*f8y8%TP1R!#Qg zP;9!;l81htu7nFo?wj{YQT}L`Lk)Yp<_V=Q9}7bHPA4;u)?WkW)TItO%aLK|^v`1d zusOy#ZyX&XPp56TPV??(R6(&nW=UaeBK#@Zlw95wWGT^zzXpvAquU;dDhXcPYDzb_ zp=}uF(`H0TdAf%c?OjbHX>>mTwD%*P@3*ax1WcXABp<+smYZ8F$t~Hjz}V|MFOR3g zw{MAWTm)YEpXmW{0uK2a@0hgT9(tDXH8w5@-KWJt3453hXgH#MT36f|!g6uta&JN4 z=Ayo^{eRFatz$0AhfdwP`>O(+*e*e?(9E}upPlsRM<o`u6K`jT1*}SR;)xP|5I14t znQR5klRCNYSvf<G^J8nW>=OU{{t~us{5r{tU`9Ycy^tU1sLq{&+RoMo^z42oeY$0r z@!`JZz_oDljQmre$!JB3tl8$9H9xZ0pRzQR(|d`UZOZn(ppU<xNCO)o0*Lp_TW@3X z!udibbPz-~=#nx26sh>5B9jN?TJ>E0%9X5z4>hw}a_`0)BujVgGI3VNZyQg0$Vx9+ zg`JDMJtzjMm`@6DA;jeG?TP3Ul~PQw|73_q$A0Cj$@b%2VBAh-UKjNDw}LI?8J=N7 zWS+ey72TdvB@Gfru@eVn>M=>DdRy{$fMiW@C{G6_HRE1_IKq`$WWT>vx+xhZ=$J@F zD9Q<yKh?)(`PxJaW?`3icKG?qc7_Ji(vyaB;n5oKO#7`5c4~d;r5mVYI)|36(Yqkd zt`G#u-NUUr3r!Rmv2YsnKNI9ING2h}<-nzE!F+j<%Lr#hqmB>AXFFp=*hdF~>ZQ5X zIxX65-K6I+9Wx@e3GZL0_HVAq6{_8s)O@xbrdas?a5Xo0_SgRVof7IN_FK#bHPz#} z!6eDR4gSFd1CZ}lpz%{h#a7rfAP_mDveM)&U}hBg<@2-vbIOl+ebTRNg4s!1)$&mV zZcjkvh@(FVU(v%KJ84y;38OM}50du<#X7bL8EFubN--SXrKv0X6_+75*V6+-stZ$R zLr={t*H;bEg!lQ(LPz7z{o9ADupH$voC4;7NaJ?uW#VboIu60)Uain+jS)z4N^L3n zsIZ_Qjo+ZFnH~0=tc!ps$}esSG7)URO1dsE(IYKl5{URXfHn(inajefsHzuqttb1= z{gMU0YVKW4)&z4(BTll5Sx(Ssq)w4uSVLRSVYOx-q?I@p>nj;mf&rf!8it<wphN<I zr}N&u;dUvo5nsEL&OL;HrDq-0Ipx~VUE4pI!dOF^{g&_|Y`7D@-sUZp;mg~8YyQRK zV`;Lgzyl>oe~JjNd`W!LIZw;={X>ZkE06%Hd&7_`g;_he6e!2@k(!Ozs*majPycy( znDe_nT;4U4E5V(j5Z%}|BWellNd;=aq<`Lvp-s#TJToKT*Vc8TnLm{*6TdxMK7egD z$OjdWUr*`%hmFM(oOU~@v#NKJwJNHxiH~90+EyNxG|4sEaj-;$8N6ormeIB1hgJl> z#NMy9yYhLhAD3fy0O+vG#(f2q)rRI1ESOwF2?nSPb_L8oL!O<o%+g%e0q4LF_;wt0 zK6KaB5xAMJF5Y13*I(5kNWqd)y2ZK3?=gMY8N6G>aeq>jW)xd9)iHlQID5B`XLiaL z0Uo9p4cuo^`tzxyc{gx*;Qq&H3}trUiV>>Ab-j~+^m_V%2LsN&TT_s{UVYd$-O2eD zT~`~-okMpK3+}Z^K^@~X>cDz;Y!lLba$y0EqV70gs_L*kBhi<*P7po($Y%U5py7s? zNwoTC?KX(9!YoqthU328M=cst@)Rw3kq+E-Wm8XEfW`uyB-mV?3BRkl1ft=q`&-Mt zr&-sB9HbE``1iBfA}1$u9Y;I9j2`pn+*h+Z5@J`|xwA!+yS*yAz<BI1RL1*wbrZGd zEg9`wB1%Rk$rOJm;0b@#dgT#~cXn-kdhE1|SSlUY&X=bJ{mv`THu^{|Nm}*X(U?_R zIPhMfwWVUACnb7LI6cVM{m9tWsn!l$SY(tO7g3w#&Q}Y60N;ZCoEHQxhN@a61$Al~ z8{e$6OkO#xrEfU^lZ{%WWF79^o3qMiX*HG6eM)qD6oOm(LjKc`b%%$XS=p4nH734# z8w(Ba;b^nD8#gD=aIbnJudlN!2$M;m)Ilp#u@l@fIB(Tys<eS%#&$UG4};?)kQZzA zFKZ=gb^~MJBHg%VF2oRu7LtvTh=W#{mUDDMeU`AU$VWgOpx>&vtj#9wS{IF1YE9zU z^WLMF?xcKTz~>uXo}F~QX$l^&Ug`KPx+Afamx4-!&18^89${E-wDu5?b(n<6<5;<$ z94MefSzDci`_*8@7s`<{lWQ}=MSH5ND`Ww_k@mM<{w?Q$k;w^*qZ_9&dD~+Lkik*t zer%^G2td!Dvp8@qTk)g?VY>&l6}b-2n8+PR4DIo0j(fBjPWH^2UO(KIuLv>tq}zWG zJ+bci<&2uxJB~<TxNwCU98xKMU6#)VQR?P5&N^IRJ~GhmsoR_rt+`xyfcr#}??I)H zu^d5&wH}^R@q|iN-7fh2{CLKE!AH;A>yt4!Kt=`ixzQLlok0t=ct&T6UlnCE!22a7 z#F2IxF|Hx!P{g&o6(|br@gaUGMb-Ew;|3;7b=#M!Xte~M7v2zvusUpQOmE@Po3qOp zSZc^rJqsRw0UEYDd{x;{N-3&#jvQGAvN-}j`dDsUEAhaKmdlg>%Ae@|`=&G!<p`wb z(s(XLsq!R!7ex1ZXdQjScycg0pR_Out2Ji-9l_NZeNw8$Lq*iyS=E0OsJ%)N7ckqj zc=3xq**o^U)jR$!y_Z`t$Z7u1X;(dLa-ry1i<SU!RWwz*ul*iPt*Yy0N&`sp5Z2Fn zE~xGp$T0+%6s(9&mIwKuxg*sRMF2<l;Yb%-husuhM{RFsEgEg+5Et73CVc_>*{k|w zuGHg;3$p`5?1qY#xC#-+A4A#x?vqCS%P?=axWugBLCtCwMbpZ2=gN+=s{;R2TpSaE z<+I;fw5chy{f*v5rj>Dr%Zv>9r^SwYY?Qz1@j}|a${YMZlSu{<BJzC?S^(zo?@6f( zk^hgT^NMO}-@^Ub+v7$>L`4OpsVI@I^v)Ipqy(f12uYM8NR<*oU&k#-2O&VDE1_3G zT7V>5>C&XPglIzOA#@0&T<#s?KCFlJvc~^mt+Bp2=QqC}cwV?YCBSpoJnZ0qcY$wu zm*<jBrAXQQdO|SybL2mdo?#^SgSq<^)1^|u_WH^}zn`VlKkoJs-!%WvV<7p7WxDJ2 z!h)~zuXmFPyN%N6jpHcf&|CUyt$4%q`}+4k@85elI~7QE6<O9;+rPVBmVMV@KuE)a zu?wILasP4qQ(5NO{0Dm8Jw=B*SuZ(c{-B>B;%=^|L!xEs_Z@rGyhDq2dDoa@$&YTw z^XK5Q@(sLp`j)q{z8L27g|{|0B3P1cf6ZJc%?DGSk*{8fa&g&tjSK(pDDQ<z4@o_l ztKp-TGp+WG#GB@GB`Tzk_CM`Uhor`l(o-bhgQVHn^&w?fL+9g`HZRZ1{Jiho3gLlv z`jMqC%_&J=G)xEnQ*WAZNzU}xl96i{Y)t9c;5OE;<aSk1dA2(U8TS=vFT8LD_A2Pa z=8NN7WpT~*r0Tzu{<6>(vFg#FG&@EKgTpn<@2_*igSx()`7SzZ7g17jUPy7rrutm2 zJ)pz8P@wDE&VQrhLH&y)$m^Sx@Y{F(*cYynZp`&uwli|$aqXHeU0>_C;mmsqm6>@T z@yvknIU?{WuUB@vm2KmvS8oh@ELx^je7eEWR;Q<cIg|Tg9=zE76wuV4>4NIgUJx6J z3#v2EpgcMD682jrou9+{zs$HyO56-htp`ST1`)nat3x6|-M=roiK6i7kvWgE+WR{% z$Bfy0j(A0QJNGPMCYHwi_R8p3#-(m<r$M=}zGUf+j(4@uJ14f~#24G$@-tkECih?| zO+Wl>A(zkhKut?FYHyi}Y-Fs~goLep=xBiw-rF13G#B3%(t|G~FI4{y$iDB<bs-oK zf7iuLLmb~#b4NTozt`Vgg-PXlY4x^6hV(Y&PN(tnOU!q`{3DRexfAQUEL{ZC9_h9g z6B??Y=HxOx3Hrwk^vXv6v-jeNoS)xlzvwLql=ZKln}4a?<++bNdg-Ts&5&-Ea8(hH zWxCOVUp~U1p7hOorRIwN?s@r#SCQ!|aGW!hXlE;0W$>g6Z9II|yO@vv+TgCui;)M# zkLt(F_bx)S-)+zMVcniT_DgpsWX04Vj{f@U$+uzpv1VCC<qNg63!^S)tYG=C$9g+N z*TkcN=vglRwbcEt@1O2I4iy2F#=p(n!V5iGFwgsPXV22VNC{i6_2gz`q0~H-?*LU7 z{cO7XbM_C?z*3$MuVzUn^hG%qOW$4NqJ};8Mf6MCGhetl$PTD?`(-gR`8++L!wHl! z&^l_+-t!H(od<gVn%~Xz$&$h-SL%36IImb#AMD{Sy2Ki1cC>%49Wzj%V5>3GcVAKA z=bzTu9gtQJ(!D!!_h<A!TG}P)&;cst8#|tyE_FkKwzJWp#sSj~7WZSwLb|H$Z#t*N z7)TIZjaj29TIiq(s_R(EpH3-9irY=5AYnRt-}Se}w=Hd*FDSeI=>q(-eek4M8C0kL zhO(HlzaP8l2!-N;>D&bcI5K}!<57=1wq$FVOA|BfSwj{<wJjb5S2Y4EnBG}eR{#7m zjxoVi`y5zV%q&5OhP+|Hz&Ah^rTYdd6;B|UTOS1Dy%n6L0-AXwR6;|rt!)h*4H2}x zQ|C-5NuFu2-Os>!(IXxhQCtZH*}+STjGysF6p#UWIn1kor#XS2YH8PBJLI*s;fd}c zbO=f~#McIzM6!1QK0Yrmcq6DmaL*K&_D6epD<HpAS~++jKfXYz2nscD3vVC<-Cr$Q zzbmY+R2onF#joLudq5<8S7U68aia6)ZKDFKtaJM?&lmG(z3c}K{SNTV8P``>O4LQC zZ)4gbsui#DCPM#$W*Dhd<Uzt*kc#e;esh@hnTMJPa37FputPSi^=$zKdU07i$)>cF zpK6DDop24dD7v_0B;+*1AgaFMlArPiivJ@y!80#CM?N_Q8`UiCS6Smh>w>x}ATLp$ z2%TeJmmzrC&_L-tV9-v>YTrKS_!l1ur`0CD`<1_=-Lu?p+o>Ng^mJOHWq>YpM-1X* zWAh460R%Fuo)A=8H@pMvT7##*_Au8M&nI)!SbuEGXF97l^zX6eoy(D{+OnpTi!*Jl zNB?|nAiwb^+ix#zKXh1W4jrsrtaRXF^of%=EL+bamvCDv%`1$o>XVjooOJK?6Wa{} zMv-=~vRW1k{nXKoOaygHSjhjFgEo2i?7UY6g!$U@s$Ncn=k{$sVb8`m!AI)nX+Qt% zPvscQgLf)>`*#cFtD<{V@Rvn?7e*-5&_|6ZerF^Evpo1WXNJ}``y@z2t-x2~a*YGo zLY%L`nvJXdY84;bWdgmBsvX~=zD96)H(&&37n6>pPKl>6)4GIO@CUm5AM~znPCXt_ z{)C16X#i)K&dH9S2^~Kpg0Z!<;m;$ohGW`E(SLY8GEUa{Vtx9L{@Z9izfuvo|B)5> zv3-ps7jH#WseYB2@XWm+ww{b%X&c{<+M+#wo%tutd9*ptGUZ2VU-}H^S%%jp;?vVz zXwllub^7k4f@A&9vlia>o;)dVN@{rxKwf4#aiukWzhraKISs2z0#^~hy$-gFPsHH7 zj+J5m%O^YkF|!Ii-gGl|5Ipf4=ud2=P6h5gdu=h2W%DRu-4cPYF091#Vr(CHeU608 z7L%~b*RO{dt7VsX|MP4i9W<gM$tN*;s`BR9tl}7*^&76Px9+>GozEh>=wq({C>h!2 zP-N|wq>{1U-}R{ft1MG8eU0SS_NdYRmI<n^nI`xc8$X$c{oQQUEG=6!Y3}7v<TkLr zwl~--H`63K<A3$H_X|Ej?w3C6MQL|Jcdjd)yqoI!9^IyG^HOAV?)&VMY^^!4W|ORp zW>tyjA%gF$k7s0=%OBf5eW5BJgMsHu@(}YNKBSCX(`1(spKO%?w=c!2X+DeFRrBct zq=iJ=f_TkZ{apF^VqALNWKr+DOka6_^88^Dt$pkTv_j5x8f|m#CL~*gwh)|KO)`#9 zcf9(@?n~ukg&P@JfE)i=xYC_sJzD!U{I7JCqKyWn|4*g=e=7heU(R3Lz+&wG2tP=} zHNGg!eCXR_kn*}9OAukVr5F!nCWni|3Y(JmJ;TEZ)T;2rxh!tniplh<z5j3)iZ>Ay z<w5bBC1xM|)7gzO{-@(k@sUL}@N0eQuwxACe_VHiFLXIIqF%rRTrjatuHYxmHeSSZ z+WKHOB5f_>Wl&kJ>oGt)K>wbec9sTOybdPY>0G+~(EqUDc>sGy?>_sduI*G6g-p-7 zznoY(Gfl78ZU2~wDx8|@8xiNtE12{uTBeXqBiv+mSnl~#<`p+!Ne_d_dmHdOEy5;F z%$0)%WFK>B%pEZkqiBpdGAg}0moAoI<A(W?cH40*OZ9VNB`^gvFKE_fdszQbcB^`( zRQWGUy>h2p>1G2HT}ZLE^rX?dPY)cu>>VO{&%AB>m8%J@u<@9yQ{ril<jI!wALZBd zsx(`jZ=d>aqiulH)HU8DwXBerSIf7mqW)L?WW?wV2{U#4+r08QpO1{2m{X&X3nk)E zM+xDr^AhRO;x+)(-|e513QAiM$cT&H$%|$tIz@yi_&3(0GJIv~=hZt&6|did{<~<g zuvxxA;VOt;UK|xU`EzFln`apxo$>Fvd)?+I1w8irZ9X=*06OUcFY2G4JxgvFY)7NH z;PvRUj>9->E2q%PhD;Nu9clBf<ctr2a-ojp9oHZVl{X~-_1R$lX(7;ND0HB|?J2ux zT5j%ReXdj)#ri%>p=II1?Rrm;^ORt{<BDEGw$iiD@&F%NW%RxN?EBAkDT(spCU?6q zhtuzOKmS)>TnO&D=F=d{7;w()M_u0-uVDCp>z3U!2%dNm{!n~Y`Bk|Td4We(0RFoB zg7mCCUJKa=OpkJJO8OXU2+Sp=cTpeFTGuSkn~F0Xl&}226iw|&ZkC(Njuo^UUWp8S za{|SI<;~Rnq;1TlxQaUZJmz>a1Kp-9Cw$P1KAjGGmBM@hpZ@Y7hpDft{e0hyE5k30 zTxipx%BU{g*%^FO&R4&267yLj@<IA5j&o2?DlX3rKuST*B2-@+_-j&I$EI3_`^^1Z zrEwlgCIen?NIsn^kMc&%4fP&+Qyd%^bS;Vy#qh0?b2re1N|qOUc)$AN-%473L|oWh z#t2vO(<P#Z^m^mQB{^-sm1^2E3gIWC^gGn@eTr6ns_>1){R97?)>`P{(=YJ`Qg@TI zYeBw%v$@`wQu~f8r<rDI;@U+z>~7<kl$)HXnOB49J^#}U6#L@5mvjI@!o=y$a0~RR z>Q#k1E|Hjew=?kukNXT=p9Q?@5zy3)&toD0vVr-9C$q{V`91-`ZR)tRP>)W9_k=Cm z_B2Q=C;ygYjy6E3di`}!{(DckU^b-D?BSoli%6I5_m3y~U}x-}?m&>y!DN&h`rT>! z-4|nnAxSxn_jsV6E%w_|mgKxXyEPTr6&HVe^qlNvYyXw<6S@%B?>#)|ZCR6SR!O!k zdt6@pO7-qT`OP9y-8i4ou+7$?>pyY9T{XmdVTEV<x9SS&{F)xuUhC6!_}5y)>4JqM zaQ((aZ&Q7EG-S(zGjuk%z4A}R>vMh&!DqRm4=S&8#$}X6Er_KpT6;R1zmjGoML((2 znqFY($}L^=yON#PS|L9fbT`S`{Qmh&6X=Rg(Pt^M3^_6`%DL=-7G0#LpdR!2zjk_` zeeYggv#*~qho(wYsBAKRJkzCaa-=nbH-Pf<Ok=^g;GJ}<xArrWj1P<bXU(FVw#07k z8<_TVe7Pd9dnMyI{XpDg>b!NRahuz7(rc8k8@Ht?v^>%Adb2ZkP0y=|kM)vQAv}xZ z$B8CBFtnVno0(6(6Q*nr663j=q2yn95zTD<g;&~0Wa)VXMXeeBEslwPulZJX0tdK! zaT<Pop0?rl*~lD6cgg!ly`-Q7GPnIR)I>TIT;ufF(FG(q#UDO%<?0diO?{eIul`zG zwa)p}E<N=vxe(hnzF^m*1}-mU5Y8`tCA;yd8^NSicXsBxRr6<VgV&3Be*5i~w_XRE z=h~uU-7L;g3(KN+p2%!y;)50+TP6b{g7ZIPq}|+S)!g2jC}db<stg8->ReA;`ga~7 zLp(3;>L&FtwI5P)eOnBs*wf?kONy4{H<IQmcfp_|?Su4PPXgjvw{CSz>y?SDW)`yF zSVr2qWckkMD`NGmV+PsV^OqhqS^LP$!0>DM;}pUXRyluEuABQ6K~Ag8$7unu5JOkF zF8!Bgc$NF$na}BtPM+lzHE~8k&Ti7KwuR7jDY!(*2>4C&L_-|-wL@dM_a81B5!57p zho|XTM&^>cA2U=B_|D$R;BTp0VsQshrZnWWHU~h4OjOv*?VQ|+WXWLj9v@c_d>OK! zuR445wK-rdM$hXURpqUB&24djpZVg`tHr(M#tm|n^zJ>*O-yphy|r+K0Nx*-bXyp% z>bB~Boz-(1(p~+Mmb(L<QSR^|4SS=azw@9dCI{*fUU8+|tJcOeFkoCy+xh$L_<8x* zsXz&}JJxwb$H}%F`|DTEPx=c98TuwyFSOMA_pEgC0>(vFsR&~H3#(#)dIt{vl9H|M z*_m=N{Ga+p=mXGIaMUe385}`BZMXi+H)BD8LmWg(x<&+|-Fi^Hj57oMbJ|R-i8`zc z@(4BVAGumJV8M3X?$<q#J0{dcxv~03h6<Qw-pHKo2Au#`NUgvHztENK{qHeV3g%`I z8H>0p9aLQ$CmuBXu@h)v&Y@JF4H+$U^g_d&_i1<VS0h&5HZA}6WV7AFVR3LLQaTld z+vU>TT5cJZ3Rl-~We)UQKoJpXbE+x<<DaW6hAX*rPx5=qfI~$*JKO@dJ^~yV0E};K znXeS;LteJc7R)?8b@BIF)ON7D*NRYbr$<b#dkVrDQe1t2wZ1l0g`zAm{A&memWhb@ z*l5C^+S6}D5C5KmbX?d~bw*MO5F_xGAF@}~30z{wC9pP6&Kcf#aK^(m+zAZ6H3@8) ziecCHaSr>~>wzRU7kRZeB!ig9*q$5J;0yR2X;Q>U|8fUyUW`pVMIx=yImo(+8YN^r zyWKMf@FA7@kp9SH+ldQ+BG@Mdek7lE`r5KC%qQw(KDjGT=Oq6c<B*S@QNxoMPL&o1 zoGzITJDl$q%FzuE_K32NdYcl}3JY?N<342+@TFfi&kLUr2wmUpusRJ$We$OgzK713 z<C{zQX>+cM$4i4pNA)g=vU7|1x|Smn{-(gyTteu<M%fZcUd17BN&rS^<lpJ^^e4Z9 ztK`i@*jzkZ$=2kj`fNBOlXIe6Ret~MR0fm&$bC#%^wu)Z105PU^Up6@Pe~wfu+6k* zvx|~VM<=R<vln&ok`@U2+>Sd4m29ZV(+rl1kHYVs)78<VqZs~X4TJ%ucus<v3h>@l zsSlja?{r2MDb5u|X#EW(Z%;6f==>wX+`7P~X3RhN?eFg@!ziw6K$efC$ho5OOG`H- zsCR84Al+0){1neDJvYyC2g0YWay`lE%>b;X-3`9>uA4#bt%jYN;d29{ev6awra1SV zv{2=JIgu6P+`2QIe`UR_=~pqpx;O69#(dibe`{vr@-N5~J_>HNc%jgS`&pq63`*dT z7Kne(rV(S_;^}bsh;{0hg2jUE5UqlWD-EfJA0EGq({F$}UHxA5<*xL6`X7|;o4xK? zsf!ySE?-n*UF;4UU(GdqQxN3+vSFN~F8V<KU+<%HLI<w%Vgl}ns}EE@0LZVVNl5Kj z*TD4I7qS2SCyaAA6<&ugnTiOVIEJiF)`YJwoK!}&;1<>^!{kGQcGEj#n*z(Kf);)J zznQ0y(&Vt}WIIF5c<#W`UL=v8dx{&s9nl`($5|X}ulzQMzGtqOx<q;yKTcrJ=LWSU z=!*5wizB?M&#|;vRTKnZyTD!zI!=LwOxAQReNG2R+u19~$Ur|`9S&T+>R^aRtZ&~B zx38JH9P%ahc(ImBV+KGO_Y%v<%ZkHPl0ZNHUN8r#nHgDWvoc&5EX8L{IefVDfSo+D zVRD>L9}K0U{vcPknxz^RgmsEB9|=Ezs+gzFN3Id9N6l=iPhr;ouKn;LtJ;&zUeKdo zp0Y%Ag79(;ZDHzB%Xc{o{ecT0OkIFLcU6hSvz9&Mo2(v_yw|cQ9Z4^X3XIWTEx@5U zcSGCFs*N>FNB-k9cZ8-OXKEx{5yNFT-(RX@?DsJz0g=DgkL7f)sKyObb*mqj!}3lU z0B4q;IV;ryT)D#z;o<}~BKUa<AnN7AHYi;|9z|>s_KX|bTndHnM+W%TSo}D3__erM zWRL9(WEG-muKpn8vN$l)p>tp?)H%v@uU;_2K1@-7+iiNJkR9t>)Uk4M0Q(2&edh6% zNPXY3%J!h^ur>tMJ-2UjNPC9!{yw2fw18Ea2RuB)4;J)|FN@MQt*aM=Dz5hI_iU>H z2Tf&xtc>|nwC_gdPfgZ!Mn`~tdCu<Y<^=4Ae_smaa5&Y(wv3`iXkPu^VkrDMab8J^ zvtY?&b1#o@xo}vNJ!vjX1fh3ke|RHici$?45_$~UAw99;Z~+bGS1hy{NVrbS8ppbf z5PXRdEgvhlNnf{;oWtlEp2)NMHFOG!qu5D!kREfYCv7?OQO+`hRomW@3%15{v_^ug zGgUX<w};W8$ejBE0DbOh#Kh0{9oP?XBwfZY)YU<*d%!v}cg>sN-S$szLUmmvD~Yu4 zUgra)Jm_ejY`#XQrRmLPNj|I|=yUzzQ&VbIE3x1&>zY5tNY@lJD>V<Ooll!RY0lo4 z*a_QC7<sAw<E1d_vGsc)g<gkHle*LWDtUCYWm^)jMVEsYI|5M||48og>O%ZW!Uwn% z+v+-~2~gM7<EtY?LWI<nFM*R5?NqgkMq@tSTbFuAYp$uX31x#U09G?y&<m1_(!v>O zI9!-OJ5v)&K5ASD6$GgwmHbUK3O-aB#U&nwp+_%omJTnzKcfkw%!qnh!6oE+>8R@a zD}-*dG0Hs(r}?I+(ApC_3oa^S-x@t0e`nR(eK5zcYc4V-YQCNZZI@>$9VE;v#+ z`sdy6qxGT2H9W@*iXjq|<+=Ua|5{G1v$pk6cd^DL53ELW?or52LdZ0s6Wbami3bjU z@Lxpu*&29(bNYFVpoG=S{%n=w&AzJLqlI%^>_PJZOMbS%lI-W`;CXDMYK`>eBn)t7 zEpP3X;<{P}u0L7U(A?6O?3`3;wmJD(vz!ZlcRncdi?7aF2ex|u&{hg6hFmUH8FUw_ z@XeR;HxFLcb8C-F{G#zCCJ(awP2*qc6DrH{692%PvD`FIBXzWI;zR(>@?osLk?90a z(dtov1Y2pU=8uOmyVl3e$*Ml>LE3<jqj5puj;If^J*+PJ3=q+DFI%|M^qZ&Ywy}9W zXn##0<3WGnT_bgH(9;ep-01!CkuFOdn6Z8Cp>RycUrFERu6I$NQ_?j>Y^}6&>LuJW zA9a<i2lQO}-L`_!<P7*M>r4$%JDSCYr=PW07N^)nH<PkLe14iw{oJ>9Ru%)UzeZOG z&nXPPd(?Efvo)s0J0X!e(oMV}K#CKIs?JEFxlU~2GKAt>RjUIBw&`zhXYY+TMYk~r zT*AdSPW+l>j9QHPTK+iucRr|h%>84lY?-Ni()}MVwIsT_REh+G`2EFFSSG^Q6Mwkh zuw15*KlXA(LvwQltcCX5V|xp*8=7Ki9D90VQ07M4r|5Yo)=5%NSqCduv0AwZpSKdW z_~Gzq`c-xmkrxV$kVqU2cJc2i)V}j0@}c*orTp%`=C6I7DKaFvv6x_+JJbEvowf1u zRb%@1AhmDe>d{yw5lg~?ZH?08g9Y)0+r)e{rcu|Hh6|364jy!LWCOj8a!T8;Acb<p z6`MO~_5*B3&5pxK!FfDpJiUt;{qCul5=K{JN%&A<Jot9PVue4qv1Goc_e*PxO4&)L zUC&k(#nvKci1DxlYhyH#1*lUJK8uz4eXWy`9=J7}fB3YO+KX`dnBqM?=4-OV`f>V@ z%yd=_(xUed*Eg@&z_?|Nk5vK(p4226JM;21tcCU$#*8TzE584$$v^km#+_Y#dt8m^ zGK{kg(TwDBc(S{*|6M!SElrfZlrxl=uNX$VkFaKf(Hq9$6%L}c8+9jTc!o#aFdvtj z+W4Sy{iCHrj~%a?h+>JC5Z~NHLlmrhc}~r%3glLMlC}V3xkR)#o87+5MSHn{>E%k@ zZd`IrQU>P;Q1{gS`)pA)&AVs3*Iy%BzJHS^d^bB#(!4R{aQ8OILN~22<kTb8EUC0U zw%$9QCZTNGHlZmxqSX4O_9tBDxB<Ys{W15Sgz!mn<`^#|&zu&Jch(>*a-}5OQh|T- z(n`sKo?RU<wC(KcPE(d@A;?2A!$12{60;L4*5otz$OLB{#0<QA0G&AZl{NwMKtNVw zU|T8E-UYpFu6sM~4d<?qtePr*l$j7WS0nn99|_f+gFC7eLA4>7^%`Lh1CHUDcNQa| z=l#i!KfpsgbDHG$*ir59?WeV^hj%SPjc(?tD+4RoW@%je?}{T8MjFefX)IrpUOUE( zo1m4o6m~<er+)aDT-HYu6V4MVUd=7CpX@0q_UfKjc)qx6uL$Yxf_A0FX<pVXuqZyk z!so3e3pbxwM38cqbH+fi<k;&~-TEcTimX|#C}p|lH{rp;J<aEdo|Y0_pd7nPT%g!V zz?vIq^R&=v2CuFwc`d<w3$}M334TW4YQrixMHMZg6=F$2!qyk8>&$(sGk1Rr_`6Q} z%$F`i*!E()gA6tDDq}iACn`*Q>JmE6K`?R4Rl9WU_MoHO6p|B`bEIFE(gRLp@gAP+ z(!x`Vn`z6YO@YZC0j7Np4CM>9$^roU*Y9pAof2lx#>7^a8s|8bmo+dn@J7d#Y`DC- zt9#ftgcUpf_br{>4GSUt`W=h5D4m}%4_of6LY)*3iC0vLZ|*OZk-}cSy4}QE+5)wA zdcv!twja~o9dq!Fo7Vsnq4h&-E)bKuz&eAHhp|)WfazX;S=7l&cA3uBPe6W1W^e?5 zn8?k*^{eJdQ_(AP5e6*bxsajdNBq!mS57<Upq``?&e+jyWv=57bfNp<?fzK)s0feH zU9AF(P|a0vp{@=hP$THqzX%SdE)urU?z}v?Z=CPHwCtV$5nlfa4;mX}tgavzCiWc5 zs*aMhm3L#L3iMT#S#N;XcvNYTlaeNkwJg>i!61^hxqxLBoikS$LK#)$Wxm)m2BKE6 zbhY5^3YcjN+q`kU#|u)Jaihp$=cLE$8Z^{()fRtJ#_1QCq*_4O$1uhqUf}<Jt`j8E zYr$uLHmsX9+N!3Ey5CtAEHzI>+_Mg|s7QM@0M>G>)B=tnsZrBax>pdM+EH}SP7K~J z%o=x~oFY!#{|+I_HU<B%XJtCQ+)L5C-Zs2bmb#(TISS%n){#;P)jH~HsQiRw1@RHf z4*kN%D6Qi)yWafgrxLI?GCln6GznhVb&*Owy|-)eede|USD!gV1?SLa{w}g`4pB$V z*cJjz<LRkl3!OH|{OJyJ7f3Xjw%BjCoJ;}xwe7N*I@1fFP_g#5V<W7Ra2?M)mb8yL zBm*7eT1I|d8uL7fvx}!@3oq|Yq|Y>?BR_&XNxD97yov0fOGWMk9YP)=u>^GZeL@qJ z@f}2wK0f-BwbdUKp|1s9X~n;hBmo8=DS6Y~?^6A052u2dUg&(gQV;h?jP>@>hFU*v zf3sU(Y!=1?J!w`t5?gBp>diarj4NQ&RX7IlXQmKaaHCJ~&_k5hB$uM%33&{5w6?i0 z!t{Arff~SyHzD$(-1qq=$+|4IW@RTr)G1F_^iZBnJGp_vZF<;hE1*Uvxvl}VlwJCd z{T{|N5HVfn>+rscm`J2CdQUhrHJOxvi--vJ;}&<p%<wzkDWi$Y!!<hlm3CCDIRXq_ zF#U_YIenNpdZ|jRy%w=qg0<sJ7aZy^`uKENrM=<S7FuaLBGnj+wy$vtI5*$mL3;=F z%j2hxdz^IJU6N6=ogOC^Xp!k3UJtixoY!l6IV@PinQqAMSl!N4xkh<?Yurx&4@~P) zCgjMOlV?_4Gul;~kzCI>FZh*fQFqFk2Um7~f<j5BaK|dHXLk6Elj4o&XB=X<p(a{3 zu+gW-WC2lQX15)$*tYoNq?Sm(+!A>TEePCPFNjs$sD*}#E_k3QQ(RX8oh_yRtd)Od zJHfpI^wDT-qxRc@8GYlmb=m{LrrR4!#DI~n;|ry7VL8bu;bjq9D{~&T3pP>orbE&Y zuNVY7B<~)NV(*qQHoeZ-`MlUm^Ap)CHo?+*zx6K)1umBOMco`ALcQ{dQC^x0=2O^5 zfi-kE3&lx*MZF*RX#vm_J#PDH5^t#b-m}_QR{0nnQ8V4k191_T|3p><BImPTOJG91 zJC1u+dPJV`^|#K-{w;y1<E5B(UF|W!1iYf(eBIV6SQ4(TD#tT&8UoEftM0Bbw%s%* zr$4<V#|<l)`8qpgyWqLp&m}e&`keWXSwRSuv9U7UCWR|X)&PiS=Y48%a$PWuo?HVb z%FbB8Bhub4D<`{a(_)$817C193rd_#n27T9oa|5%lA`8bD<&UPd-9E47txmZlNMmu zq-bW{)2`z=aOC;{s~Y4(Oq6!axj0uf9v_oIrqhYG4Wm{F0=<kHH2LMFMD248{?<$8 zQogJqh;08?;AuInKxCo{UDF&i8bZGzNL<53Wx{l!7dDhUf^*&wmv}k-*WDw1YMu)T z*~ST#tZ6;}EA(#a)V<A~-b0nF>ix;o&BTC`04$EV>Lh^=SUTQG>o6%tz<$r&#QiwY zF+$e8(VE>9aao;?&GR-Cgb;*ER33-on_iNXH^7-dU`c?5bM1^0`p^2vjgI&UONH3B zi-qsLaToP&9%cEUszs<hF?6(T@BksD8A;$`6!i-41dpW_cCCDW!TRGiDN0}pE;L^B zZ-Dn>quh`OjyEr6aT$pRhCUrJ)ZBN$B!?8+MX25!M+mM#vf6bL_H)ZL3$gpxRqN*F zo91j$ezROUf80RT&T<L=K|>A9aw*;-Y9&$;V5{SwYN8e+s>TLK_QR>Y`b?lVMVaA9 z;LSvd&T-w~fypo!3@D7RDS$n_h<Y@~C4EciFwOVkxcd)!e1|UioEKhN0ftMZEA!vM zn_HL3M|h!<=C}lHCRFXfeAXu%c$1ZpFhhFT$X^8LxtZ6o#mF1>-<*g93&r<^Oz8(E z%j%G*?&GF-hUeEKr;+jgZPYPZa5jVRAe^4Mo>B{sQc-#%a+fR-J)(tT%yCHp0sD%l zS+^q|{CKx}xT&d}s$p++pH|Nb{@}OS_)wqSY1!RR@y|_(q<}zHJWbC$S<m%gfG3uc z1P6Z9i2GH@f?hnl32gaH-;{Pi-k6rsHFcpWH}5h}QGu|Q?C$^h1q2H=hI&VO>_~3i z?Q%Ka6B}R2kF*k6^*1-K;bj1iJRjCLUAr=*3ceViT99Bj?=_Iw3v$ugnQpog5C05N z#SM&lRWzuqw|g^Z<%55bOI6wj45mK&eH5(<MjmHt)Xn%HRby<H?{scn=+K(;nhSF| zlL#LknW$%r@zCE@Op8_(`K1nKFg;rEAEEsB))qb$7{^k~!aiPV?o0+~i-xt5TAgdt zd(e^Rzx6rO%F5ThENx@G`0Ci7k{9FKlD9Q9O8VD>^WQI{yPx!S6KE^qFovt*Mw7wH zn;=U65r6({@jQ7u`n5#C=SYON9yp@e!5baCIksD3WtLo80F6^^)#)UpjC95AF7AJ> z4A9N<&dr-W_!{gj1-7_ra&D95P`{ZT`f;F+cl0g9<HF(gec{yp+!ScihNq;jzekZf z+Ul{}$$(|Hy`gX3H&Zsbd0=jdpwKsJg}Ws!+YAy<C=461d$WI%+J)LAaNT}jvN=@& zWwz;S`5wJ7TP@36;2W){@peOH?LNvksRZrZcW?KwK1AJNM54Rb<p<d)T_Ts#y^n<h zEvNYo@pfI{jrIVvV8iiyfr)LYAtR=l-ieu%E(Z?V$wz%8a)|?(Zn*-|x7S)6+8V9P z*xkJW&bL$4ddb3Q{LiJbKm`9y;BGhXIRmKDbvNI>H!Fx1hm9HjCc$>1nyhY{Rs(SV zz=p;2F3)D0t?+j~O{yz8Mnn60B$cuDbTr8Mxv_--HUqeZHU{&-#LA%g&gfQ65#x8= zAs*B3_FYU_D2)X!p_{LC@D;O{H@>?rkwdPQ+fFVqoBIoOj<-#$QGN?tcY*J}nUCsC zT9*4+r!aX_-oiMe0A+4$>1(=qXTbb3Z@=~n>kDt}sIZ?gm#~4m8!^Grr5Dlf+T{8w zFpso8wAWG?1ZuT3&xj$=2+ltN>8Z+~=XvLhgICcMTaKA8P{O?6+Mdp9JDHXWNvKA1 z?-y_Is0GYtk>Kab_HPdZacw_kT=ylQC%L<!)4R<AvLaU^GY%C>ezgXf3B~R^_}|BW zFl`1od+O{dr6F_lM|~kYltVKsMZVq>HE}tXiZ8h*0mdvE8VFZ#QmNew?fsy;AaBPy zaD7rBcu>`nd8d<dWfDEcNJIt*`2FZJe@8hvU4O``9CHAB%c}z-BALU6`RtLBAf2KZ zEg?pvU&q!MY^GrlyqKh;V-Y+q0%lWDdDri~U+s3YEs$Gh9Q|jk_*>*dZ9RPaqo{7n zHfCy?1p6>IxRMd%C$aBWQ2Cc>=N@I1XUIod@oQ`<6KgXvGEu=fR>DufQg*H!qgC1_ zcogz9nnEANz6LnIzrv60|EJX$HOJPlvORX^Pd%U<_M1bz$svWMJ1S#Klj`J-Gt#%o z*`ppNhZlWyr{=|U1FbF`1V+jG_E+X)hz>>y5wVy3Cz~IZ)Ktz~6qlA=vWe;xk|*r@ z<f0f%Z=kkNM*wzVN&837&q9%6UDa{nBu(Ct_cPw|k$_7b5B5mPt5Vh=TrfaCZlU6s z^^R+L$9?_pk$meB6wkvbwfkl6?v&|wCQf5M`ML3us!k!R2tVi|CWh^_@hcGMI^626 zy~bF=1WD>lixdd4*&~O(;m(_j47LC5S*XJ0#>M8r=I14b7BULYr>Vvf9JleCLkE@N z&eQy20IV`h4aN!a9K&@l5J=TIuYh)iK<|)x6EJeEyy@UT-Tz(mw*gi875tMEF;j%< zqbXQirh3Z1f)bY=u<{P;4`IT>8j(6fto87@OdFCa9#~B?nwxoA+3j)~-)ehz&Z8mX zb*9nS=<Ix|L2QS-gyF|wcc((liGuQ_%7q%Bj`t*0c@-5U{)2;F{mpxcE_x}oWqe$W zE+TBGIqLgb#;)V-_X)ZmbVj%1s0gMJII@1};P`85Pn5faj#y9d|5ZAp<EKfmsvPtH z=OiE4>m|W^bvu~ag&gO=5g1FCvtuM0>iYR`iwTAl681dmFP?a);>QXxtOMOh;ETqQ zi%;}~c?&6gw_BcgY+ZMf<;Xk8`7N}rh(9hNt+QfBXeTw?oWt-<CXvLnM(G?b1IM+K zB-{ync~0Z7A6$}57*6Kf6s8ABBr;>U<&R6U!=osw&YFzRV<3i0IFsX5r*YCZLF25s z1BdH!oNZDoYlli_ke6Qp>j*Xle>F1TA$TaY8JSH0jXqQFSWG)DcVEpDK-)faFpUl# zVGp-3C*n~N^t~Z@Mn2kI=Omm%@785*X!GlwfT{vHn}iYOlslfD>dW}IjuuYz3yvI< z_gM_~&3nLXsu{>BTrJesvTKhHr_;zGE8e@Lf|FE^x_0RGqlFW?1?M1M7Yfnd8g8jB zWo}X7s9O{+egI^W-TyU^uKi&^IAovYC*{^VB3qyogihMvkecOImvoFRs0z|oYEC=X zoEaGrTubdZ|Cm@cJFE=>-|yeV{Oi&gA2}UBPd+pvts!fMHK`k%qbW(vy?$8>?fnw_ zRjzj{NCG!UPPCD^zTK$^1W<J_9VHe$^Q0B^Av8cMMleomCmN+fC`!|q(>r<Mx+ilK zxE;YDfKIHvL5GD>kqzusmZmpo`#uAFQcF9zTToMLVd8#jqmqpbSo$f?TN$LFV-;kf z?r3+*{9Gkp8jReH5sUY$-JySCK7sJ)K+AqlcVqsxEeq<%(<!@#_TSg<x7g-!U_>H; zJlo}OYF*RHn21{b+dze)F^ZWUsn7ElCt#gCjIWX^r<Gff8>M3@ydpUXej5~ok?(*% zlilB9SkK;i8;esmA3COx<X7Rqt`8b-(sYuwgsvMSe5+*Mt_NGI1NY2_L=)cIjYaax z^Z14Lg2XWN-?5hrB35ySLt^r)KzGRs61&$f5#z%DF1Lkg{*yWpPQlhCz(5Wng<|lb z|Ncagon(jsn=gc?#pMrd{qL@_sS|v71$A9VPEVORHTY7{@~d@_0O`@E!#Wj<)`(vL zn@CmMtNmqF0i!~#$^HJi$Aw+7$;89{!c?8v9o4r(bZPAyt)T;og)V%68iJ0U_XYWz z<c=NoOsu9FvScIE=LyHT{LRSveP7C~>@+tQd==@_j5IDR-s=Yi7UqSyi}v6f=s)Nc z7QxbZj1yunS=>W|LGmehw&}8Aiw{w<Sm~bLh&5g16&>)$0rjS08)K951sglM<9-2* ziCpa~+r(b^#n$Ou;H3KrmC3ZGhuUw#l02e<V3Zh3!oZ<o4+e_SRq*xbc)9>0JC#*A z^c-yb%0%7^pUqk>g{mRvjsnM#w$>M9hj?`hjn=r`hm9t@mSWY-LG61Js<76K@sk{G zQucZiXnCafyd4~|i-bGTtu|ef`YZ5t&nv`5i@P&55I_L7b*I^1qZdSp>gh{eEu(~G zDUoCfbj0?TbJv1itNz%KQ)-u1k=)mlE*u+JU~UYJujGX`G!pwt57xm*qy8o<;>`0l z=`ZR;>eBCF>!}}3Fc($rJ()rJL4F!$2cNG$iz@N)@~drD#|EP93dXS1%z=o|vL1J= zZpZ<!^r>yCwAm(3cf#Al#l^F;SUazk!aXwdJ#)W4rFmESdo*6Qe@FY9$Hs-K&0%DY zB^4_m!fc<HvBiQHQXICEjXw{NY`}V=HFr)XZcMgj1bpC~gT$G(lTD^(gm-ROPGgUP zy<Pgs&P?B!OYR0q>!YwuSTI#}YC&S(2?eC?=HYQe;jVpr$k}Z3iukT<XpScTc7)mM zu+<lQplXma3xME+{UGO}C4_ht;g}80!`V;#4BLYAI?=WKwR{}`e!<=<uZXr}p=ENX zXUDUpfOas0zu$5moK(w8yIZfK(%&|0L{2#H_b?nF6|0ca_&&UClbd)5mFv}TLS9k^ zYlLZx4Q;`<*YBy@WVb|X)SieG_|94B0!soh9*R3_g!9YjeCyYeweRApwkoGp#gfL} zK?4;wb+9(7jL7gZir)weY1uTZC6?9#($8ZcUXt*lsWpkH3oEB}g}vAvkRI-de9lc9 zI4-F=Ax~qT?&ac*AsJFP*Qx1Zp{iDDd!MD{eah=%;3wtPtv8CEs@BNO+g%L2kNDG$ z<@s?=qilMu3(RYI8+Jue7&2qm1d%U|#JEoTxq162f&Aira#V9~e5FMNO9oIVLG3CJ z4R`0}-+KeM8~DY6D;%+uaK4kTok6Y1e-8Nn3pMxQW7N;}mW1DHw5-rAw+jQCALvYq zChOuS{9pV)y)hVt%5kRH6-%3}`qe!yR_db9!wVU9LfiKnDQRBufsWG-A+x7%(r-`J z;weh@K{8urCO66;bEIx6=E)>PfuA?sn6J!g&phv8*Ypd(&BWGjJa9X6E?bSgocokK zH(=H5F#SR<3LT>v6*O>F-oRX_?h!QJTKJ*!M}5eFVOooDwvVRck8YA6fZu4zIxvdA zKi3m{)dkxOe%EQ~RXSh8vsNlQ)We(CbvB~2HLVu%JfxZ?-%WqjlqxIJbznJi@zwa) z*ey8|bIBd)0iK@G;p7{y0I%G8&^}>|zDZn~iXpi#e4}0<*I`9oruXxw<nWvPcUbl) z!YY^eB`v$*YtXb5rCoZY49gJ3v&JO|2&SI(6QTHQ__v*TRJWekH*k{CZHbX0KM_eX zy3nKUr!lwWx?l=1@sB7Uz-NBTKDs5C&X~a9uL{|UNV9EPy7N6yWBgg;dXtGKH{H?X za8pE+*vKB1ZR3d=k4Tb#=3_Q^_;-rFrsynXJD>9+_pA&zshGXxYSL0FLFE7sJ*+*^ zXUyM2E`fIcxTX8QTYC9iLV9(w{gnfGscgx!<6FyC8i1s2Vr->aL3C(DkQUN3QpQge zJ`THC5Pzt?!wxA^X?wNz3fb!AXTeL4aP93LxcRPD(-}T8uSe|qL8=nhjT=V2W-;Bf zN{vC{7dl68J(yqVOdk$ae=G(RzINV?57C*6UwJ`@M%-CDSho8MtZ2qt;Jve0OK(e- zI!$@_zJZ_X=ws!j*%5p%A75$1I;?)oOS(L}{SBS5u23|h65aY%NAEweVVh}+ws1%9 z3qD~!!Ut8zRjbT{-bs_8Y>7Ezcw0>cIR{-z5?W9}j`W77q1K5Zzuf>`tXsC`dyVDk z?vkLtMa-y=rIw9iFRp#a8yXi+*}Jc_O|#>pgc@CAfJ|-v`U?6U+WG;nuYNPOV1bSF zHzyRgrF_TgvpN}Vbs9L~I6s%a6s;ktwM`whpPM8OXY6zBy6gw&_X~4X<p|y)Cu|0$ zM5R=f(fXzwY^zD?sRuO3Pg;E1XL|fwA$_Y+D6v_z%J}_^f`mciILiK)9~ElSam)JD z%d61UDbMDFcES0V!k$IlD>N0(dP#Q;Z7D4LL1VT&2fxnMB180s?#h>FbbAWDtCS<j z2e+p?m4WZsWH&daA=8LGUuXuo=&NbIM(8v<Ju?}R>tc)Iz~DjHyGl}GU|k<9PIW_D zAlFVLlMKj{^K|3U-+8Y3t_3TsqYj*do?r^=Bd)_GE|DiI+O#C@@vO6h!o1{!dyijj zHTj#uJ?~uaJ<6MX)h*D)`5Th*Tg|p%EYf!4<J=KPe|yM;4}=@icOS#dNaTKtHw9<- zj{QhP;Tj5nN_iQ%eV6w5Y9Uag&r+51*6$&1qUl%ou$P=%mt0hcbu~WLInumk!Y8w8 zG2fwU+&%Rm_uV_pyLvfPX_jfOkMO_fzX?HeJ)nIk;T&viSCX~B^ma1ONLBe-r`F_G zAG&|?ewh+=lG=T+(}W`bCUUkS#g4+aA18F%>FfZQL;5;k3va|;;~BAozeeB^-X8?V zQIoKPK~85TNFATBiC=Y9394El>{IdOrxv#4cw?l@5!m<G|Hd1MV{LJv+~o#=&tkn< zv2x5Q#BkJhELTg^mP@SlN&Rt?&z|voZfY*Y$}lhclt!$lNeaK;b~k<3=XmVo75;Dv z_!f_mUtr?oSqC^0d!t?V&GcbDEB-4UUW?e3kMbq0>mV3qx?5s8hz-@KWp@snUw6xz z7ue$}u<w`{witqy4|ELoc5H5c$o~<%v*SnTD8^Wf{TeIz$}cYpY`-{?9UldeLM-5? zSsOn4ZSC%*;jlhi_fq^}yE|z+9r#uwuYM(WB7P61JmAxlTlo4+ee#I#?m&J1pzZ|R za?kiwsYVWrzVoxsE{tR%HZfaOBo6K!Y4?3$BoS0phufPChaS#O@<#kxCVkne7aNWY z80i#awf7cT1cZ+|b^MN4_~rZI!EsPzNl8AzPp$1zQ~OOg<pC^L;}XRSu<gCq!=SY0 zirUrJii){YkK#d_L!)bG(*6pfM2t0hA_i!|@*~9Gqc}6RsAKgt<bdPJU3%d&w5ccr z%VCQ}GPft0%M76h5#3YtHC1UXzsQ|R-Gmmo`F_t?^JjiPX?Mkz>#i1{bsQk9mfS-K z^_ba_U)+$NF<@V-00f4+2l&-LU&~se^7=YdD#kj`{c_Et^pwR5&$V9Dw3TEzMcj`+ zVg?K+1u>-kGfM*qHvTY*6_Gf7r0g#zd?-K63V`hUX<ttbtFDT;Nr-79&_AyGowG%e zMra+X3&+-1fV<=fylX*Ws^5KV8J|3d;d6tYYZbLbzuy7;e`C_>m<T$=R3kw&{zQm$ z4X7$4OdlO|mmrASDQlxgR=0GB#UXibe7_LzAxw{^fHho2gj7>HF0fMDW{h{lhz$}8 zHA0#fvT<zb<s9IcWmzL8=3&09HoY+ZG9YWBJ#sgonU}MIl*BHdCi7uI=E>yRmew=C ziL$i6d1KhG3QBL_T7Lb4p#q8C+IBN`ZE`?e8Kdek_Uou%-MDAd@Y#rVW^Q5Qbbc9l zDFl)16k8%;s&+!lUB;~=TdTA;6^0_yji-+9mYrUxxK9=;;yU?z#TLGt!KF1wVWXJF z;b)Z^T-oVF>0j$Q2V-hyXDMxQ8Q6_Y61HMh`+^vvzlB0HLuW|@oCW#q6@TT0Q$y$Q zv5rGae#i1cE2o9q(sSs|*st*g1o3I)n67%CbD>~w=h8e79t4oxd$yy4#-AVxUD}>L zkK=3bKlTtgyK6j0e2tlI&v<3=I3Bu>ey1T@2F*Pt?lHjr3|4n5X`2tAw5-l0pMdDY zwC?P0iWim(EosYNcOvqal{bxryRqY{h3lIZ!lbepui%`*){%#LOem$iOD*zukf$}X zP*>zozQ@i+H*~MbbUTB_Q7RlbOLOiTuK+Ak&}{9*k_Z4OMma;xmkGkqSp(CD+B@@d zaGp*H6!DC^``6b8fMzXjCiFFB()Vqp%lE9Gb*EiZ7vI_c-jOa1`kXu@#nw_E_PcZF zs@nZVg*Grk4=dG70Dl1UiAhqLAZibXld#0Lr@bM=4(-7n#4fGpekOk4X7wukJs{R? z{^-EbGg46T{m|Q!VAg@A46*0fUj)k6;tp1*(rUi+=|oKo0LMV5e}(lh#h<rxujde& zzx&S5Ht(QR0~!Ao&JA6>HcPCoj=`Jn7mte20+8reroT6PZ;@}*8c*_wPuFk1r_R`t z?SgGRt;+M0sA*5AE_#nc)t*x*R<#p(8rD0`KSh*!VpIHmH3&T(9bwe(*~yFk<?-<& z_a`WGv=CRorNb>s#XL&Ky8ebrD0t96l!O8JfHSoNM^nm;QU-r|{A?ty^!#Sz$rz<b zq*5i&KTN`2+^fBuvPAaVFBz4B(FD+lWtQz0YOu9cCv_kFObEU!J)9SqA%uB8Guw!L zKFPnb7t<esWgg~^hG-^Oq>%mV!08XiqA6Co%Rm?8Z*&mEewXff){U5@BfkUB<Lae8 zfviT1x_T=@#FQ9Gni7u{fU=I}w8b!p=iZwvCr0Q6+U}l?<3j0L%%hh#!8ylB0f#>6 zB{c-`ru`nsXYo9-E2YMFr+8*$(K!u%capX1Z|Xcu(^C?v2|m<Y8mhRtVY>gOei%^# zD8Z+n%?G<tlB7orikD1#Jc6f=rI(v4<oFI(KGCwgG>ZNS+wXSrFv%XX<PKFDt~W7P zU6EB*$6l)UG)b+_|J)-4_LS)%5?ReQ$$|Bc7><MGO%;~Rpdz@}#CQdpS~cF|n5uK0 za2%4I{AXkn-qMCudd=J?zH)Z9QJ8YYzeh=Es9Q?--PlvJ6faXQQxtlSOXiPd)X%-s zCdztqx>}A6hSDzOW?!-zXCz1w?=2^LAQNsBT87L)vQgB5YtEzA%!rk^hqb@i@dC2* zW|}$ZkIl-BY1cQ@yB^|({PW4UPO3)F@w-+7wMyksEz;$Vj=Yp5W%i;K0WFa24?uET zvI%f%uLZh7Ww}?S`38ADi&<kP5)%Ndycg0?S9bd$D%AB)vv_W^%v>TYn;)0d%Zks< zQbGJ``{|trwdJ-o8>)8G6VbMoH%LX?4)%nr^A95`nyIjBRpZ+c(SGYMMRb>hcN^#v z<q_JO@8z(#7Q=f1jrFNh;MOQ3RnaULbtqeeTQa||*=3+9CJ`PUX+J2YJq);WUVbzr z{5R-6piww`X`E<ra0#rseJ^}?uw-rY*;50tF46Ufi{#%GosCy($5*@hAB_W%5lRW| zQD_$mHf%H=lW5V^+qbkeH5-2%uAoG;vZY>=?A#YSHzyp>;-qdfId?_P<h?g?Bx}uA zalN<n=h9z0pHy#-tP0O*+4lkOv_8v<yRTgpH2d$)?YnJvhlZAH17#OGXq~GWqH#J- zExU2^&Bqke=(#cVddGycL0qVEYRAXRb=wb8m5FwHlm?&Sb=%O^3JcRIQ4#O!^llhp zH<ngEFw7nGKti^TFWF}?=tu9SWorgSq9ApAG0me+Qv6EylMa7A&JG7S2iBNmmb4n) z-z+u-nG7F4B1ld+a{2>VjoKOusnnZz=U%oLdEmn%U4^Ng;OAR*7hlE3x;R^3XskaO zLGWbSh&Dc4@C#5(<1d^sLI#8hw^b0MC8lDIy(@diO@y0vc@|WyJl^5JwhL)pRS6y( zj-u{O*nsK$`<a33^d=zQjedAMCP>A_`<jd!)PMHAL|kYPbvra4kvlL7uS~w@GBwrI z;y)*9HtCifM&<5mc$a-LM);lHGB2-GS#P4e;MG*}S*;o+nS1Qx)Ry<yrsQb^Z+^>r zDXGVqtH$w97JoKlunQ`Y&n4*CN7gqaNoPc)BXzUFIU|>HXQhB!Mt^Chmr4-@Gj~%; zlI@}&X++!?`Eh+NWZzoEGWQsKac=L0EBtm@z^TzCan~oQ_Y>qM^vC}x>)fNE-1k2| zQoCnI>H6C=Nlr<TLAfNicI6UbGfBA?hB9U}xf{%BJCggh(QJv?VMsy}gK?=`M!8I4 zFvg6{!%P^)xXd)h=nS2+&guNVYkk-AS)b?qd41OB^ZfCw@AItn{RTxm3%`eQ$Sz4` z`*7+zEd<v-)9Q~-T>LP8&nWEFYI3@r%C3j3D=%7=ibgQ^scp?j4ZFO9KRrAHo{4On ze^S<9chamk0sABrS2JB~ZDIcp>ypkB$e)WI71|tou7F#eNPv5khkE+5^}*Kkn&eX9 zM{j!;aBDq!bl}l*kzCLmNufArp>B?0z8p_)-;Tu)yd!!_a>CRT!l|stuZHrT(HciN zcYQy86(*!9&sY)KOz+Lvt#EvbVm^<LC67mo7g|qFxWfu?Cq8zBee#Odsm+)Yg&Eam zS~c&FStP~I)R;f%%K9ytVn5p|T^QT19B$V6z+7_FUOiSD&sug9)2=?wv6<HNjOlXo z=M+bsF$`r~Uhiy*IV&zOR%j}U<<l{QC(N$}JaUb2)eyEl@^O&AS<9$p3Cv`<%9=`2 zu&>RuX3y;{@^HU?Jz7WlAH9D0GuVRTC*$vmwjaS==~$u>U4Wp-E=HGr>ul26Y6G8t zpczD7HM}xn(3d^Bn$L_5>=~=gF#WBb=rFM^tg`d30xzKZ)uGHVdhg`UjwyAe!VI*T zL2Xlgx2H|eTqbK?><jXc#E|Gh#&F%4riPKaHTLg@(S)s?!)%R5(p+pa`s+#tLb?kH zOc77;O|fhc4G)jyc5$0R^j(V{ig{kpmc?K5t>f;^LkW(ng}+acwort+EYewFS9OV> zIjud;VM?qRT<>VoT*)7-h;Gmy<0;}=4^q48+>=)MSf^@2!{R76uQbSwY*;m#smSpV zgJ$h~Ng}9Tf+D}+CuHzB<UV!Wmw6#{Our$WKSxLr|I~tG_Z`Bmtgj;rqwBSK9(z>E zKOuwHXhvy^M!iB~mLP2=gP&^w5}EGi_NEn!DNIax(|%H6JY6^)?LtLA;$B%@dpq<( zQ`9$w<(dAGzvfF9qDE!IutcrYW{#;^88>$k%kHl`t2}n-8ZX8c=Ua{~>DeZTTCE7` zA|=lIogBN%YcW;)eWi|Tg(oDjq8A6G-5e0kihbAe=yiREDAaSD@zod5z_oeWP|Ayn zSASu2-n$Jf)?HsOTJjwstek5_&t8Y7$=OfX#W=O|+GjHpdq+CERO&m-a<29Wz0F0F znVJsO4zX&^x#qZE(;mnEz<B><(&u!h_GCsMF|dS!f1Q2SONU<^p*M0H!Bj<CB3h;w z8t(OEghXY{PXrLjDz98;J~-Plu~Tred!_5+Hc;C?U#^m^kviz2c{h8NIy1RMtT|em z3{MpEIirl0rvWye@`Dbxdm2LYHN;8MU7lN8gCg2*N8x_r)GjWsGswd0$rKS1BYJ24 z!OZ1p;)5k5>1pDxq8i4>OK;akP`3+i#(Jo|2B+beb;hN?wNxk$&WUnQ{NY93X}7<- zlKJ5H4)##Kg59ZGv8WwlmEo*Dwe+A|vbcLbQ+o8@Uj_%nj7ihN7qtU0b65?^ScK&@ zGPo1yIvnb)cCeob>@$+UKJ|L&T2Ry5)ryg)IiZGE+$AwEiwqSyI-XG+ApMex*D6a4 z@2!OCff)hhu@OawZp;s(=)8)hHsy;hKIAAzM9fz`V*?CmAHf%L@6pNGSX2Mfvr%nB zg48zMXRLEXt(Zn4v3y&lnt$V54L_qKHA#f4(M?f!=wV_%b$ae|HYI%D$cZdzO(<zi z9tjB*ryU~MU0dXXGZ8&OKF3;YI*sf+g-iO8N)1`iRI7ojjt28}$$h7dU?<StA?_M5 zuN!ia7m9*KVOqimQ0S8%v;AN84l-HgCJC{l-HCPla1%3`9+d|pzdx1}XvU)#opC!n zO7G9cjBqJSdzT4`=UGmJ2`l9IMnouO7Twy3?<z8|A%U*MrJ0qLpaFhZ<6rQvk_hf| z{-4~C!6ek{bV*Od#ZHUk*aoM7fvMbp7y2vQ^i*LgHMWZylU%J$euqsV<)f|i?8}`M z%V$AnGlz#4FvQsAzGG9it#A)qnx$Q|bEF>nSnAaj)Gj-G)u0d32P|M7N`Yfd^4G82 zcvH@Ddqiew96)p&m<<IppTl(x2D>%U>*w(;&y_fKJ1U^l`TQyvIGyTAWxRhYWFuHI zcjQ@ihf8XYOwApO-KpcrR3@TM>W)O&I9SqtUhBBC3!~0-Qn~@vW8(7BT0Te~PbUuP zEci6M;A%!OWWYq7$VR`x`d{ipPo&dO>s#S?)4`jnr{9qipDAgkgPr8J7mYpFjamD} zMSU8ky$po=4DCjJ>Olmv_vo|*2IQ@O@(n!P2k%p{^Br<L?%5P!@%h1?O4L3NW3Ut{ zQsX>0*tn#0YOW21YfMdH9GolZxXjo8vGJHnLZ#XORF0x*6y-NyWR#R(b*Yn*Z;+n# zG0nTLVyj?`ROaoXF%W9qpOyA3_<gZF*<&zGeRutzFZ13kPZ~XA*frhrY=)Qhx?TFQ z>TA++v35W{D<fcZGCvKx6aoj{0DKvqH(i)j>cKi2qxY@~XL4fvdJ22s=3VYEms?dx z@$0Xu58)1vuhT=q1PkNz&N<-{Qc)N#oTbz2*WGb~;i@4OXtyAJSK3OtvTfWmT!h0# zucddX>23Vw9LI;5MTKhN<XlwQT4AMb%{k{DVzqx6Jec<KoEz~>Fl}_9JIBXgd<V9| z-bKhMe~y!E=h)|&=Cb&;&lbuv4zrw;jrUO<bB$f{A}Q_xy_RzR6V&ctN9(%BZ#Wg# zZgQq0DSFoa;AKbTEuA_oT>W0Ro6G0PkAeb)Tl#UL=?}`bB3t1tZc1;OS%ShurZsDZ z?bKXnT+9Kn`v|ckOe!@M{^}6SK)!>LNscwe;b?t!BZ{2XP6JA2Aqc*&@(I62Nt3kG zdC+JIcc_g&nMkMqq@3F%nLx!EYqQpA)zw}tIwT#jGsWIgw2hLPH&`>5rrwG>(~ac! zpUWR@z`>f9)52Rs#?OrfD$$bzbVHuGxR!w?OS<!D$|R;!j3lSNeO!yvn;(e--De|S z!3lwQT)$Oq7Zc&hEwdyjfnWB3M#`!R;q+g<834Bhk=u>zoT+Uxd9hUHY3p_IXcBt& z*<p#q_af_<?#lbq2dTDX(fsR$c*72dT*Cx`c|NaYwLxGJCy84YfOo#G^Op*auQ>7E z5Utm)n?w{u{)r`K`ymi&xG5_oz-7-L^a%5nj!z<th1yl&sp6wJfi*X4Rg#lwRpP|c zpSv%qDvJ_RcM5owR>Z*qJnQw|MDqhw#Xu^>I<~xs$}y`StQ;y}AKn><uV|yqDNs%Z za6jk1`Yn|7OrqSvdwkhB*lo$hT%{}w%BiMcMY`Jy7cztr2Nhg;B~)9`UmDf#6<mhN z87KpDA5Cu``7&^$HjQjQb-Br8w^P*Zh4X8)(3#4%V5c)yMq5bR*aG5S)R|7@g@TwC z(v#v3&RSAmTkzSn4~;*zXA3Gj%>fk>f>MU<_~=S#)Aw**y-%{V?42zkWkr0lEWLI9 z?+}?STY=q2&XeS1fsTXt-LgRXEo$x?epZz9?O(a-AKK^>tL%W%E}(~k@W|2Gcpsga z!s&|i8P<S#x1@Hj#DHm>|Huk6BS|Ji3PCUyN)shvT-V-BJH$NIejz(}U%FEIB0U1m zR(9)t$PW+zg1zaB*F6l3$!-|?Q~+?pAc<4}Cz(ylUKL==Zr(Ih1OD7}ji>>sva(T9 zeZWaTf0xv_JmzQJQs4!F_=V%kPXf9}HhewszrQ@J4}q}lWgz<@--e9zBHilL8^3-M z_}ium3un=?r=*d10J7sdRU96FUIS3vOys5kK+m0zk%8O|HuSoKz7qnw{fn*4mi@Bd zir7fE&++E=Q4j+0%>p31z5~U^<6mk3hc-b}DQL@sJ9zHD9deog6xWH_@?Yc-RN()@ zn|DPP0=prQoyic0{C9A#{%!vW4e|MZkzZBW?{1iEf!sHDgDCwga^q5TKLgwb362a7 zL7?!Y@ooF?b((;@s<io+$Ffh?N%KH@rPF9bD;?h&r+Ngy|9luYhgUfT$ZtLr*eL)i zE33NcHq`l_{2Q=Iwo|pdG`d?lHNUa`1)KgBbp-#L7O)ksbp!zLWB<m^Z(z-iZelkD z|Mz{vH^_4d53(Jk#AfL<_>Qcu{-403n<W_+@95H%g+ShHhd|7~(|-6b?E$>XaX=2g z9|r8!yRHd9U=SVMpTmP(1Aei`=s4LR??i?CVy7MGjc~nSa3$E$+Zt|Q6l8-&MIkVn Jhzmic{{);0^HTr- delta 152722 zcmV)#K##xG;2_xCAPrDU0|XQR000O8CX!*X4POEdCX!)E62c_)310vJU2v0n0>l!@ z*JW+^_^noJ)EaNzH5%_)r?XH4K@ora2L7qj|G~AK;#o-dfT=y*sW_(2j-d5pSJVcK zYFWFwlYM)F)RcvF^)Z#b{V68cYs(1+aQ_JtOnuu;5~e0z4s)JV^)T`NPX^zf!_?#Q z=G5zb&#Bbv`a|c`?QFZ8hhLs|u!N>^jm^W8L)^mgY-9R&oo9}SFiMbo-7bGOhmV`P zclg6Q@i*R4hN29XEX#;*&2)p{93Ko5Q)}YqHLu-<-#I%#(I#F0!oy5z5IyxrJu~}f z2v1|cv+v&U5`N{l{`T~sHVr)dN4y}tKN7KXs__KiA3=P>(|~LRxC<jn%632nu*g8_ z4Lo?nPzcy0uor_iWVj&LIt71ex*hLTz14j4S`7piVFaX=aTSNTtemSv+wJfi-U35y zaUtq2$E|i#?LWh--sP19((RUT<g}i)A-r80u1NiC4{S4CO)I@H5!GkKnRlD4t_*dQ z(~T(z#srGh$?Ky2;Vd%sZtLTA4$qz_q)q0@!URk-{cS`|;*Eq%pm%?jlc(-muxY2h z1ZG+@?0exjya8VVW;8iFfW&^#yOfP|lE+X4E?;yJ`yM49x=4<|`;#28??>{6%b0WE zy-3cn?@@BwF(<t3ZOl1#SIHBTd+Z0p$)MQ|*99(IHn9_cdl~8`yoD+-SE|_fj5bO= zHk4X~+mp~DiM_}?w3L4t;r1*ul5mun-<2c_1S+%L9Kbj~<}O>Q2rdJ+N0}qm_9FAp zQf7qPv&=}sQRZH9pfAUDT&WY0i9kV&=hA=;Tfn9*U`rQJaMg{ZH%v;3|Dq9F)>Wqf z_cF368iL}TB5s(9jZpN5CQ^%VdlFhCu@{-mTy?Cgy}9Zn2}ggK#feA}NB%HxoU^Vv z3Ae{_j#%4^%x11SmU(ZkI!VG&=7g(mgqE%v0j*p$0$RCh1T=QlPdDM+lSwp90rnAE zMVK9j52h)*I2<iU_sazwSl)+E_ZVU*^8%?^n}9BV#wO6ai%yqSM0&qkFT>@UNu7u^ z;`LV|YXWXR@+N;slrA$JW<!Aq&>p2F`0Yi7rTfC~{yyyv+n>)4$aBUdlbXu;@jeoe z<K)q0^fNULSrRggvX6DANLq$EO8vzY?3K{z`DX{2mqq@P4@#s{zIm+@X3w+5Vq@;~ zxFJz^mx&>>icr>psQGg>J50I8SR)|NuJn0Qs1ZW3^m%`ycpx-a{t9~}L?$7ri!whM z;qiMsl(f}>X84{4t8`LOPTg%F&r#@hH_Wk$3L6_zoA^l@8|JB_x}Jti9Y3O{VV>}n zJBT0C&M;5>3j3$9!7s%*@O={$E6`9ea(J{Upz+2j30|SM{2@&YbF6`N6RcADQWnch zn9VNl8m@np45~`;OPLJ3MPDm38?;{v&asFpyB4ze>1XL$n5&V>L+T&XurOEt%2&)E z)vGX91C=kDKTn&&9Gz6yp^&<XpQJ-!o;s>)PRP{pBbpQD317K`_%VG6^Te;*J6N|Q zEWBeMhe6h@=ZI}q+<Hz~Fpb&BsOtjTJK%F?qxOF&?l0ke>K*rclWwYzA`ORG?(phg zylOa3btiKlX}De|RhFITXCYxwS;;Mfxt<2ybp5xIkZ%EGL&$~>bU-u~=-2zH9`?2S z%QkcireiEO55l46`=jgdeCh`iK4DeU0Xw=83mQ!)*j%U68(wnY#Dzu41pL(w?r&I) zAN_yHwjhJo6?2+z$yPMfaJq@vIovtvb>P_U>T^I}Y~*<yNn$~YA(sc14M=!$8HO_6 zUiI?3vCU-pLOCC~uXa9X&tzm6Twj27eJ#`d-BCZ+9%Lb+Oa~>jbGxSZfwDHq&NtN- zl|M108$p>I`40lio|2Jb$knB`MdlBObW30_<sz8)=duZ|+2+voMPHMab~KzGcw_Nu zUy1GD^13L9eXLS<GxI>4?`X;p!^$Y>1}FQ?cMaq-+F`_3Hlt6#5~5~twO};$@haw* z-R|k#(b4ns^Wn=1igI2K=Z_DQa7z?_WF-Io?d)6QBy9eDarSgqKYslRe(BY6_vO{o zeEaI@VRrbmxQ)a8_eT+ZK9=#Wfq#Sso7zgu!{z<#=8?6?*llL(?P|H+g^LvwHn1C# zhcO)~29WFNag9*x*~5~9ZNG1K%Liy<gZ*qmnT*OilJoF(_OQDD&T;<x`}$9R1vj(J z{L2bE=X~A7ylB9!w&C{fVFi~Lnb^C{*F+mDiSFQXZ%A}DUqa`@SYx`NH!-!_+5J{U z4p?l4CvcUQai{}>EZ%=xY>j}v%(mfd%|X=Se1XLKe7D@J{!MzVa7Y~vk=Vmx1=WN4 zibt5`%PtA0LyQb@`1Iv_`0%)Yi0wlMbnzX`do>TY&#N7n*xGCyDB;`L%?421e7(Ij zGndB6n>SoRiETi}Ebn&V<|VxOzFUURtHtgM2TN=KGGOhnJMh|J?;@Pw4;)IZ8n`-j z^*M2=_-)}F?SAqG<9;^`dV^`-oAgFQPOMlm(EZn^r$=a!bHDtyyysAVYWocRm*MIL z`qG`RcH8^ad|97vmkW+SE#n+o+l8xj2=tema7}P=@M6Wz!^um3wqDl5@%?PRd;mwu zAv?W`i*W4qe8m&f5e~RqQGi3mhhG986o(rh+pjlJGrU>atQX;3q9t0!jA5W~5u*+{ z+<kwFC;8>%Q@6OEz#OoDr(v!NZF!D!4VMja7ks;!^P!!5f=xJ-mMXvnZlR&ZZu1zM zwT@NZ?Vu9*g%i~Qd08yK!3;>PtS|G||E{-G^AFUscz9f|pnVRsa!vV{HwsY^c=Loc zlPSPrcR>dQ8cW{Z&Uc&p6zs8@=;kGt<>F2e^Kkw3A$+_I?_v6X#w)05nr)W1P!<d! zRcv~VW^tREIcg>ibW%T5jmL70%{I|DVV@B|0Ifcte{G0P(!eORx?5O9aF7BG&qto$ z2`~DiYXg?LldG;j>`oXo?{UIWw7+h5j}L{TkKPq#pbLrsI$1Afn|P@RE(g6{A=Sa` zr8C>j{KWWQ7J`g_zkJ`W=Fs!8w}v3<7FG!^<|OuJg(wEI_3Vx;E)pcl1rn|bhJ_f^ zj%Cp4ja)SCece5V!{y!MZk3E7mCTbN2?t_4*Qkfsp92p#3bjT-A$&=KtEKJIiI)h= zjG)2>?2exJFsorFs|ScYE*>`zvv_Lbhv=Xdv+sp+%0s(<%kH2MDxlF;KM`#8*7N(X zi)9!<%nvtV`j2-I&_ZO?h6TM4n_$Up<CX4mvRZdd*15|`I7u%4#S6K=ylvnAk({&& zr`?Bb6$RtNsPglj%~P6+(#sFOK8$WLfYe=qCNJOu!YPSVY1A9@S3E+_@u7Q!Nn>S~ z_|iQ>b=@p~_%D|b5yC(Rrt#o@+RO2J!@C`gO+s)yi91|Ej#s=M9~G-|ILHYU!=>(0 zHBay+6*yu3A^@#^<p{}x01PFBFG=&nzDuSEVQYOCDzTE=PW;Zo?nNnA8o*G28%+v) zq&6=~3Q5h7=3j!UIi?l7X#2E;|3H3je*ZZC1G5i*kh>6+jz4Q$V2d-Lct&RW>;jzW zWFAXTUtmk@&VYnTgRZ1HPMPJU4KE6ll@^jj2Y#K{amJ7tzNXsbk_hwo+q_tEs6?p2 zV$#UTPGSLxU2ZG1otxZO@W}+ZX|@Rwb-dZ8w(Y#98V7uMm_LMQpb%AvpjuREdJQ~C z2wB*FVi%Q^G6tm2KF7_>6mpVH|Lz5yR+3;mn{swc0%UxEQ0|24!p;e<tix6%oP5Hz z8KPq~oLEVH5#~46VpGTp#P&-O8@wpJ)CC=O*~*2v9(d+L<JxEO@GtmzQrX@#G58(V zk>?8uGh4X!ZfWocS;5GI!FPw{OP%&By3;&=ghYQuQv0q_gD;ku`^MSa5kmz^@IN`5 zJ712NqYD?`tjrzK!T?!`%wgwa2?H;<H{cylrxMGkp1D)VYNYO#VeW#|tL@`G$=N03 zmPY~HDLyP9<DURfDhfgNt09t#8+6*PRv}2adMxM^n6uE~p{`?}z@kk9UFL|aiG-|w zQ+Pvoel#CakkqYHo~EQD*D>Y(jYtZaL{sAhNz3oh5N!m7F`c+d%44_VD0Y)}tXE&X zO})w|K2KRfll>y>ky^-`hk_@vF>yYbQ(BnauOOJsv4R5TN_f4e2z!~LbDM`}KvsCd zvibUimfsx)y-Dw5Zvt(9x}D2z==TDDQZdc6K@Oe#={RAE$@~}%m*Tfjqz{canOF&L zqZH^F9&y1cWq5-Xx7=l!SV{}<LehV>f$_Nof<xLwe8uTMTX2|J=@|gh@4jxsZ}dHo zJku8}EjgiRKt^&;+-NX)gnFdk6<BlK{mqji?0cCj$=CiY%%z0)2n&R6mMJuUcf@zQ zdidwl{XIAx%-I>I`viD)qEUci57!1s)X7T}cpC!}Q$c}l2uaX`+T`;X>VPMnf7zYD z30$VuI6CWphhXsZbgDrdyFDUQ?~+7O=28g;`ofJ8++GN?D-Q+^>%nWqMgN5LRL5-y zGbGdi62>tFnd;%33kixyL1tEeovhr+*U00&lgUL-k_*j_7}@1R-9%_UY6xmSYAin~ zXoDpjQm0_@@dIes^k;!F=b#*X0EWG@1Q<5`8o(V1=t2$1^3Z9rG=r`rOOtw8V9Y6M z0cQn<qA4ALCy-Oh(oG4hf0Y7e2HXqf<xDmB2g^agTar-Uzuc^`evA5l5Gar*=M&Ke zhW&#&mfjG8S8p-`$qIbS_V&reNTD=0p09X{sZ_TAX`F<4Qo~F>CFtOJtdh<oNoNNK zrU{r5hJ-gz=Ve)NFXHx=9iwh`5Z1D>i_X<okQ>)_`!O!b2&L|6AW~k%0d6cDK!Hp4 zTqk|KL;J=zy{V{NYk*>Zm>4R4kgqXf2%NAD4s1Pi$(4bz|Ndw&>P-7xx=_5Q|ER%> zoEN>zsqdZlaaH!GpwEkGzfVW-NS=QYt}2}z;xnfF6*>9utM4iGf^ghFJGk)r0Wbi( zQFF<nlg;X<ciG>2hm1vQBEN<tj3oce=4w)w9$rj330w4D(wpXgtN1rw(HlRnOS+l_ z7ZQeWCjr8Z@&B24@jd3^ioO^Mb4hSs&n}giK*cZ06_@(Pv?e}0zo$*BH*EK(oo+~= z;EMOf1+K@MjEX?vMekw+_bC1q({412%doK^m!cq@CrH|()17qNbRnS+>8X@O5sZ7| zZofC|rkX<(({Cq#qy`rTZybi5Zr}UNHPA?ufn+ND@uCVC#pM|OlYC(J^jKU1B!H3P zz4jQ{7zSbcs@wj+DXZj0<#oCj-n2gn5eNL+4`JN25d@?SFM@isql5?y?a+i3Ov1hZ zO?y}vK@SLR?6{xl=b_Yi4BH)|0}Y|Oz@*oPW&?12R9pIg2us6(g9dm7dk&}ur8-bN z&KyRd66W<lanv)KZ)pwrm2A-Ts3NGO$Bc5SP;sx|nS~6`x`Z1}gq!w+n@)sV_Jmtj zgrjLu4<}codq+m&+Vitvj{@6&Tr|N%hZ7(EGlmW#d}6B{Q_ugMz(P0^uS8Hc1gna| zzB|9Ce7NF&#n*P&`8`O1sJwn>U<g2B4!<|R!+}_y6BQl0Dw3_;(CepYs@61ITA+<n zID{vzyX~nT)74p&xCv<w8PbcO;&?}gR^$lvV=JT;`4c-R8xF6=O|A`nxvs`73n=jI z+EC-*HH2)ibV34$#eC2Sr88}lo3O<Z0DtKLqec#YuDl`B=8sS`Yyq3LfUN|e`ko8i zCidDN;B{(ffi#F`!R_tc>FeT^XWYIH@d;_)8^~TruGeY5vqFy~;*h+R+`@;F;g1r> z)bl5G85dnzM_g=>$OXk9;elY#<pIV!`&Kk!P+pCScxcGpj3yX#c<43TxoS8DHk67a z2y(OuaIDEet`e}QTa!5^X#?)6=98r+AP$8hrEeq%a<tIAy%jnXlhY<qf3(CsX|)bp z<d53+1w7~8@Iy$t-nK{6A)fZrL~3z*C(R8u{FESp>awC;K^hA*29{#cn9YJj?Kr7f z+$1AI{yK(K$Lmvp<RtXlaMsFHXGRrZ;~khWXx#1S_|4&KGj#)HF9-)cI2MZr{Y25X zBdxMYc;UspF^*MjKhogZGWq8;Af{3#BRoc=8F*+y6v+TQoEEobQ6dxe?C!{ft^8r* zjhS6##1wY;fXr;TX)_a()h9m!bP$skC|m<{CSj9xC_)0)%age%t^%t}lW8d_0%tyx zlqt`DG?9;xX{_-Owc;P8H6m}y<=zAtt#T+HOH+KsR@#I!BYj~@2ux6CfToZujrfMB zUKyYm$)=7~Owg&q7Tg-)A1xzOMlOcP3f*6`b@-<KRvkOw5U7F~6I_a@Mk9hij#Lqi zer$x;+@l)AqOtOs44}p$Xo5~>Jv7BvIK3f%>NmxwS|v^K6)%`Z?CFKA#%8Axy4v9^ zR3&U0bhi#{dT4~Ob~uYo$PQ;&vXiJCqnh|+jCykoe@=EJ_OMM7O>w#qA<{p}bp+_P zlCUrj$sZ-?=wsLSdvI|Sib&z|9Z7F;4%90cW}JkWrURX?f{`C5<kZPa3?khyq{6g+ z3=iskdpd5+pin0R(;1Zviet4h(<zk<4vm74RBVz(p|prpKvEipIK8SK5Uc=91Tq;e z)G-X=NH`ix90|u_i6gNlWpLQ*Bi5;UqUGts6o*V7F%CUhWco0{k=aaTbgG`Qh@;p( z)UxF9VJeG^4-*{eurZ?!wJ519dlrL#ddA67#B!*!3+ZS&!-bj&Y0Q?vqt-`+M>aI^ z`lgRZHf;>?WaBYAxw5H52RyEFr8adIoOnJw{n-!O6Ccj2&H(|BUo_U--Y5rFBjp64 zOCGS=9Y^PNh#`H-2AOM8GOk>!;S>iCa%3nV{KK7-5(PPe#u!YBgq*;r=WQx~1cRdG zUR-sp<{=$CCE?X`EEV)5!PVqb5sxW&I&4eAtGSO_(f}K=Lr`-qfW<CWAB-$re5RPe z#q_kK8&Ag2VMD)j4yJQKx(F<$rq3l_YqJmzZ-&}|*)VC+gNW&bw5h@AV#8*;6WW4a zkF7zGq>XkMw>F@RTN_YtB{r&m1uz?G<U+QE!6BRtJ#3~ipx3}HsK(GXv@xLKM78_| zF?Im6p$1_EC#LT<NV^RKINJ^zIGe?8877Jm;Y>6Wcg%oZMTRm){W<LD>36b-^kUlC zBMoQAr+#;jG(DkMOBrxQ9a8Gquumggi=Z14XeH@r7-gijfgLDgyQ)lotgD`#+!|bf z9oPoDaG^|7vtkvUMjj7mYiHn@PE-IfwS52)N*0x7z!)>Jcmy%^thDiwRXaeOoKaUk zipHoNB7%!x77Y<2_dwBDH$}{FLW_@$IvYl;wEa;4b;f@0@>07oB*I=y2C%d4fkI<b z?DPU`mzQ`KOL`MO29Aw?(gHX(PYdAKP>q2{Q=!?X4YY&QK-)oVfVPmEOzrOZRJSi` zY+G>I_}uTsSDw}39n!+yWE2qE<MrcOW%Y_cIEAFe`RB101ct#(L~=NRqc41kg<nwC za(-}w0@{Us><%Z;p1_oL_tV%LcDfx%+l&qAVmpX%)Hb>T3rZe;2Vu}}LkFwwWiJ4U ztvPl@)E@a=cyQy&MBh=4Bf$P!&;`#xWu&o<Wmi{X*sR;uv;&p&lh`<+^j4>IkL=Lk zP}LF~1V8=|PI?0)XB`EH=IvNf;uzY#9cmI!FdgH|3($4V$37J2Q$H{n!k8h;CYB;M zlBT1I7MMxsa&*#v2usq5byrT5D&7ZV+JdUDV?@9bh3W!Zw5>(9qo5AKA+$1VwTu|( z3TNGHIo2JrVBT&y1#jGNIfl3B-kStA@82uJJB&TH|8*m7+{IVq+@_DO2yfiUHwkPf zxqTm9qz-Ed9P;p`QyrTD037IW0ChM909Zo9A+$)CA9nzML>y6Tag{uE&|(WgVk%l7 ziNgjhh{QRAE=0_gmh2X%3(3;~Exs(W`{pTj^X$4sVm+Bt*AhNXh{u~_t+9y#4F}ac ziEf@hH%^_CGzXvjS_;712F4I3x-xA7j*b#<VkcjJP~TxOYy^&EtXhFHM^5YFAg1QZ z(=t?A3TFd<2IU<#U{K&Cf@#?VY!0C2PXyDF4ZxsAM+DQQmXb{u%tkgC0L;Cm<kO<a zKy74Xpf++cP-9ug%?r$1w?pq7h6MNQapa*EH-d%@tQ{Z(rgnf3RN4VTKxhYeYzuf| z3wUY^_}T^#Yl19PLu#=t;AoQC*xE>%$buZXE@uIMM<P-iy^z?<1`uOS8#oNpY~V=f zWdn#C0vkAT<<N2{to#Lud2Kj_p|B$e)g?CcXcE#wHMr9<B^W)}Vn{9m!;qK<H5%`{ zU_gW^WjM?3{cg%D1w_(@+#(>HB->F0m6}t8YQiZzb<*p@MV+8G5?aD4Pb+!@42weA zn`R7u*?010Fzq5dd|WS;2!wk%4oC=LNQDpKO2@}hAKu-I2fF{UJs1TwsCNCR<|ane za6%N_VaL)}n%3opr;a#9V6XErjX)jahzJJV!N~s{CSKwMu4=8?fAt@qYabu)ceA_Y z|5mC-#My1fshiGfJG;4GCSI16p45s6;8ZJrwGC=DYv-$-5f|ri$7c0a43RoH=9+W| z@$uO3aq}>{ul-m3)teJDRX|hj$uO=19ZZfJADSnpgT`si@4jkiG*1vY>);_&a(@Vj zKrve*&2+jSd+jb<uZG4?y-EB;;c@-#X>DMPE*N*=IPqNQ6%7W|3ZZ4xsm7BsVykR_ z-|G#-Yri+?LOa)w-FN`aCIw^z01tU|40;mAQ{4s&EuHvmi-guo1d|cgAM-hGHbwEs z02Ya^kMD1N^t<sBNoqXkV8(uL1UmgpHA@_FocZ`)_q)Fb%lqYg_Yb8F<sgfbA|)V; zOAbmv7FQrxBSR}HOJy8a2^!<LN>CVo$K{|eSmx{D)i|@9X__d2!($IF;7vF1mOVJI z-3QKppLQWkLWd78><Lj4eEnbb|9u3%@?Wi{diB6bhUl;%Bh1=f8{6SUGdSrL)mMBF z8ERDnnMOY^#74cd20k@&L#RU$FJ7ktA+_ZY1mr)$RST1uPGB$naoBld9z}_Nz_#>9 z;GN^%O6Cdx_#yn?fjmm@HBT(W2f7ikBmH(zx2KQ5j`T@wj}sFdgCi&HAbJVdAXY#N zz>z>;NBT?-2PQa%GB|;#$KEgqCy2q4lXOdl)a+CTlLP@xCJH-%-l*279Uj)yl_~@j z2R7&pFY$Hiz+4#;94_i0+}PNEXv~Mbk#ZdPK$zWVApZz0=m5^bYW3P%9Fm6mVI$7N z#W|c$IB-GXQ+%lRsf0e?3`Fm9b;SzQmx~U(lBV-e8qEafFF<M℞j9Ic4OhsM4nT z<}QWCkr`miHRFLz!KYMu(nK~+)FX>%V9y8{DmxY!Y|!oF`_NHAZ}4S*wprFT%k6Sk zds?lPTP!M!lnr$}BmxU+Fo}o|MWWw;)o|eSL_7i$SO=>meS@rJ_NE}f+mUxreuEi- zK-fh2K_}61##r?TkN+3Qqjx*5;}p<%BLiV^9>Tp>E7}Y;O<ujyMTFtjtTkbPO%qo8 zo`~=mYJ*dh)zeJ`7D$_ajd;;y(_sP1c{_=TW=dW@;CiI918ze-JL>w>lcR1!-E!!u zn?Yd9p{IwG`HQbw2NVN4?2H#*6D3e!9L9?&bl)(Z0x5V0RTRwwbsU0xG{~*;#9ScW z?+x*O>h}B;hnp^_RS;cT>VlkkyI2K5Ku^x?Y=Xd|8UmYbc>>yhMF*6FOrWox76Jze zV=$Q)0*<EntVlrH>!4^#XsH3#26Aj~Z3onxZS5e2cF}>09Yh?s*g=HD&JJQ|OC6As z6c>L$5YWOFJ3An-I0}*tui6X~(DpiTn+w1MbQ~iUCDuBiBWbIH7}`<?^dh&5Ay5qT zzfMe`Ei})2nSHW<+88Kt4)4sxu_G4*-kFOxj$9CUXD%A2j%-i_&V007O@ktE;)5s1 zlC=`*BdJs?K;w8A;qm{r+(Uz+f&(FS9E_JUu&sO|2cVUD!Alwiq&4O?A|O~Sg(tU# zoLFEO{twi5*^U4ys&(<Q1OYA0wOeWcR-T4b4?zr?%0ildguaA#%N&>hYiR_4!OaW_ z0`eah4(#Q3OaYQo>3sWBdjMK%O$x4K`@?;3i(~;?niz16wmN+y^do4?As{#`hd_X} zr|xEgzyfSI$baG?Fqwh`HqxQ-8kB&x*MZy#X_-$sM<GNGdn<69h~!pA{zOzeV}bxC zUPyjOjiOqAjY)7}7y+g79EFn1Bd*DN+_^-cZeqsx<QMLjpm|C5T<`Q<^PO4?^h8S- zhHUS)dc8Kdc~Td^W=^*3QKg=o02u>6u6TxK&^2gftQ;g#O&J&eq_DuZT3Awjk5gE{ z@9VHaPL%~M+hM^=DPsI)hXvEH?pPr4<&Fe02JT3IG7m}EsU`Dvf;}3zjG1e8ng)a1 zBwkRk<0dn`?a?Hb>=c^r%Wk<@&+e5|k|eA0m1BaZ6n@f=D%i?Jc7y<&*j%QNp;K#o zQmQCIRV1Gwsv>*TN0oh=z*@lU>jZA7N)RxWn6Tp~^*TlhiSCM%ejy`;M$?197LA38 z<5Ay#!|MsWX2zTER^OI2xPGLxMsy%0pn*`c1S}YD!3=|g)M<QmXrX)mi9+{AiJMp^ zm(wT$dT&vzKY#qHzBff-gMRm#kHYp|L;nZ|p1?t5JeqM58G1SOd?>lH5g881tVD(h z?I1E-^mh{(I!I+iUafV<xoIghtoIH=Lz4o3H=$cjLboi1K6Vls8Z5X8ee5Lkv8B)_ zPC`R-2sfcmoP<8H6#CRjXlQKVCiJO9Xx$loYANz-Cy}8ghnvW+9YlU@De@a9k>9wA z{Ki4#H<lv5brSiltH^I1M1E^2a>FeQ18_&v1`IAsG=SR$CJo16%sm>Dg=6}$3Gi=! zDY#om)^Lu=0E5$r+eT##x3CPrT}|CBFariGM?4dnE!TRTCdj76IW%jy#by9*DR(A1 zGm_gmI%~LvX8>*~w_AYLaE{ObLw1JihG+ns5c_tv)!UUf+=4U!cb(rZVH%LP6x%IO zYdA-0fWcw3Q?Z)<XuAb#4d-YLFgS>Ror>4A#csOAYXI(O<bc6mYyh@1@}^s~2H>t@ z%c3=1mj>i5#deF;n$EErV91WP#^p>5901l*YPUeG=^Us5279SfQJVhD&O~WO3Gt?L zm<AZ^rB20Y`ch|NG&8B4gEVO9VJ~$mLerPpEkbKLM`(b-UTWI_t?3q^0l2Gw6PE>O zy57t-K5J&;Gm~8iK)VVp3(xfP48TCbi)$ZtH8TO4k=)Ml8PJ!Rxb~WziqN#>Ze=1g z6S)D}al8S8i`)QiH{PvGh-M_WbA$%;o#al%X!_%wiP4PYb`H^6@(@ke&H>zVyfaan zk=)KfT1y_JY0I68)AYwX6Q>z}$?Y7bwd7%%w%n;mO<(Rzq-G?ybD-9e2Wr}Kr(!jI zxihhvk=)LqT1y_PY0I68*7W7hL~BNJI|pkmd9bD}cPd`fm)j{`YdObjfWc`UvyInU zPVpK*yT&bU@ft8-w~ifW;x(fkD1bW(4j5bp9KbCF&jf5{f;&fS$8HgS8-QC1o{8AZ z1a}VEj@?2w0Jju86SA2J?i{lnyTxn(ZYg*sW-}AqIcPg}3)%qOQt(XBW+u3E)OPF^ zwE?)L;7(E7v2)Z07-UXeS*DGNbAYuJ+9_l^b`IG9gT2tU@!D}FUNbXs=YS0u$V#mn zrUJ0#SZBgDGqIiHwPSgIyryU2w!zv-CRj7slmN72tOgjeW38V|1#r93KFP#tMshoc zYCzvfZrf1p#4S_<aMuBsg=)H!8^~J@cP3CX8gAz}?Zhok18_6BpGezZexXL|y{qW8 zpG&ye1ox()>GyOn@cj64)NnNHYI&oH--XvG_(jiv^YQ!F*`fx2_hV}p_p|Mn-}d)U zyoJ7R^n^xt4c(RNzm@tIK}`Mwm%{)#{crqf@lQbqKs5e?mz`^k-`HO<&V(rX!yWW4 z;f<hpsQ=tPts3EW8{YheKi0(`SC9X<{@?6l__-nc+!TIpML%!n_ka9$_XNNCntl}C z-rz@!M*L%pxDm#Gh{?|kG5Hw~6Ksv+=!cX4{%!XXBBI^P0-3|VfG_+DAn`8%!e80l z|F)sOxuL&_f5C6!U+|mw7yKsv3j8La(tN|HG~fRBZy?HV+r^B2(*gkUE3Js5R>V;Y zIHF&jMn8b;^pgl*3-BS!^M}Q6i`j05e3QR{9r8DzCw~Kf_W9zs=O+*VIHOoj;Fk%y z6Zq|i#T@>>dQ=YgIDn|K2NxDU@;N>BN1Z9X19$X~ztz|u={su|<4Z53m(q=!QgGNz zTTXQ=2M(KQq-X%!GH=F-uVe7%IVPd-Jgb^+3U(Yfc`$~(j<19o!?wF4llR9`Twe=Z z8<Q6LS~LuQfv>yaq&J8eSGOGz7>8D3)<cD`wnK%mwnK%m_CrM|+YS{1TMtzuv>PgX zF~?$*D46j8Q844Np<oun!sr=~2!%5r41}{C2ONH5$A-@ZSxgiPW-$&(&1xJF(A<ep zK5fRqYChmwX6?`Iem4Ma{rJUs4X2p^_3T00eS27cv++!D46g_BIK)(1!(mO*HJX~( zCy9(tIEf#>9D2%NOMr`CZ8U?6UuZOgi(g|jgFEKKVFq_%33qA&2T#s^fajOPp(lg} zx3_nvuhq|lKr5^8{PWlg0(`35EEH@%K0LvL)9YP0oINZ#$J_7Q-SQz^EVrw>b@;S- zoG-V3+tvCmq+h&-h91RnOSkhO?2Qv0EaFXfY+dh<;9*D*8D4P>q5%CpzAMWARjZMI z*B~Qxb^v_?``wGl*#X!pYU=EOwlBLJ^m^gs6Nr9L^Lv+9^fzGJ*9*=LzOEO`+k+a} z1R}`Y>MmUFzCi1v<t}`hZ^NgD8OU?@uv&$Gu!~x*4<b%*zwo|28V+e64S}cBH?`g{ zn0Ui>F7|^O3I()dBEp6Qs2oYjfO-HdX2(Po;PjkTv8h58o7fzPT5VJz8UuMFY0v>T zXl4Mg(cGiBh~0h2GjhU=FqLxk7(VV*P(ol2vcmIW7P9X4Eo<V!qSI7<E%j<0=ZU<3 z*rN)VM@u|pZ=-~sME3Rwo;uGN@|XMh<9fTB!OC?UE-*<SyGe7eEn<s&H9_iV#!{(# z$V958IxSTy2uA1%v@farPuSEnSFoZ*ck=?7LZ{)AV~<28CQ6CyVK_nX4rCm222{=& z4K^SVyZE+$xsk|z<nBU@VJG);?~w(6C>MzAL2d{$Z4G@_g`ra-<qA$hD)41ygN<4H zaJ5GRX9UWXwfkv;E#7;ul?b}S0G^uv2wfP@4#*qxAwDh3^+4X!@TcR6XlFu<4jQA| z71_e>e{x;e;XG%L%4J^Dd0~eLl}4?kMe4Qpv0D#E({wB+jG>^wENsI8wrLK3I|#i` zJ!n;44?X{**YClQ<;(%;9ytr{5~N5;%wAUE({i(V`VuX7K^?dSu4kKXv-?$f<0lAn z?WPL`UZpOc_oa(-$n$le4#CbW7<7f2xY;aci|?YtvWeFD#Egx?cTxm{u22!1eJSF) z?*(c1hUP)NpmY+)TBN`gs^J-bs$I(1!BXi2L7ZGf^&X-$2SHbSI2C5gUaS&m=d82j zD=dUysn9@Xn^M-00=2+vWEv?Bm0A!>m1=q3vsy~gO2tYkRVT6ubUyXbw<;6cDr4~} zqAKlWbMtjmil=2WNv59us0}F?ckK{7l`7}{asEdMK?&6joGhl7BhL?idjEo=8+Y9h zJeBHZyZZOCG~LM7rR%b$6+={|cAob?t*%R&RuoUA%Gvw0x-My2Q9PCErqpRAbvaOe zTFPQY@KmXr4XmGKYzp$-@pVbMp?Ip)&2y=`frlq0Yn2C&&XloM5j<5YXER$YoU`tb zT%d*{RnB!u%At6wRL=8%-j#FR@g`7p<{IQsG!?4m8LtAA7UDpGST_7?d+n=I;y;S0 zLgmah^V$4Mc@dygJ$<dxDdn~b(NwCMdnnfu&JHYdnathD?B@3ooYuQeD9=YUl`7`X z$Hd#8r7PyTtO>;sRjHik{ZFXtawZf(Q>kk9J)y44nNS2xrHa{q^Mtw%CjPm*r=e&n zRLt{sx4Cg}!B9(qFAh(;!!{hUH|p%q0WJ9D^;1F?U97&q!Xd@k3f}3%ij8{d{x>>s zjVU;;L^5gJj@7*C&(&t-9IVN7aqV=(=rQmLC&%o$ltV^|F3z2f*BEq#nz$+D{9U35 z-{rKG0#~SpO&O;dtuie*nFA|rsZtO7G6y#oQN4#K%|XyDjFXc>CloH@yKZ$UnhMnd z1x?#0hX9mZEGv`FLLq<G#>M-Bs5<bc*si3uQi(lF;^vHSs}U*}<hMX!!#0TaLD+S9 z3BS1gzMf;hn&hO+LyZNj&o|+!IS(J86m7YIo2Bfo$`&eF@#~obGB{tM7hlYg!3!_T zdHCgdheDOg6r2{?G9^vH<`e<rPFZVsHIaH{BOG9<a`{Lb`#_U$LkEA+U0_331hat^ zDjT?>hEtRypG8kw^bl}6Bw?QpqBm2Sql_1ZIcF|<(@Avs<*#V&ui#$#(-QszR~YA5 zs1e?Ly}ff#NOVP>9)6M42SS(bq#oj37J3;TOVIFV`a9Bjp9FMSmbF%m<;yN49Rb7S z<4iUu7CnA+d=j5>;fF{$QLSKn!mSeop!$Jh_^|B-;hO7@HWSc-`vAv{OzQ?vFe$94 zu|#Ox@IZ@4JJC8&gbBH7W8p@fbX~j-lfLBuTzB<(q&r2EKSWG_G|w}i1OSH7j_!bP zS`aMe+A{4C!h4gvKc5EVUgCw<?($E;nTns+;2#vZbOj*oRJxO^u0QNfLOza}kFmYW z*+FjzcO<>Z$cOGR{o%*6gO}C)YW;FJe|&hiT0=m8JDV@x;dR1yjl<L_3`W5NZ!GXh zT7a;RYyHt>ukH1J&kk5`m+{r-fPRqa`yzOfd=$W9E($-n@`A=BT);(z90VOTIB91f z1;=lc0<^JjfE*mYQG!Po^raZsmpVxBvU?s5_)Z4}-W$(D0pBT6L|3q-IM|m;B;@|B z6a#*f0H;$dX{Yax#0eEgNhb4*T&vE`v&_dP^9=7q+s`w9(l#fp6y8uLp%mE!A-@UH zlN7@!snlF}h!LdliCfn4IZo(9E>8;IOVZ!hpu%bghu2Vt2X}8KS7!%rgf-`&W-^oz ze7s18GHRH`VpMD<imkOqop1~e0uF+v;FKH9lSG3q)OHGR&>f8Y&talRS9>%VLnrOw zB;`=@fB|QJYFIMAiK+;RE;w^<QX`S(tR1i>X5em_JiE=;bOl@*iVzxj!3P`QppT{6 zj937j&hAy@+S6b%8ic3;4P}K`wHks8;Y9q=bqIccIv9rM-Y}J@$!L+>&<LTZ=yC%6 z2N<6!J>o5J&p+=?pk;b*Gz<eMffU-yO(42UOz8}NRowgZq1`&vW^kg(ezy|4LZEBE z2Tj`5pqk4+qJ-!-p4O;Lx-m-#AoD40+=rzg;Uf(=2}!a$(*cEXPSKR)Lo)#e7=Bhv z40x3!$wog5Om}KZXtFU&@{1oS;c#n~VVLgMl(5j$H3N!UHYMOWR5&Mj<y$o+EUg_+ zLX(|;nGzCrLP@yv?2#E?2ev&asNdBR;wC4f8@lsR^9isS;{(_v)qO2~7rjs24m`sV zt$Fdk)e9dyY4dbH`z|?Wcz3&cS-QnF$WlBI@bFSnAJVY{A44|XF&w%b{75|t<QFsJ zfV*)eY`nLO3G>f%pve|90V_+fXPghvfX`rmpP2w9A0?>n%Xav=R3dz8H6vRRzNf|n zYd!Q0g@q}9*9iwB4-e`XDwvK@9Wd*lU=G%0b{~>0v#LO9I32JB5Bl0*AJ9&3c*)mG z)gq11Sl5QlX^ep-!4)VhIb7*D32$DF$X=Am2Vp`>0fQx);nlymP;s4RF|A%F75X)Q zRNRp`DH$#*CGxJPLDx@FQjMDDTL9S*vY`WeOJjkE5z)X4`vCK?ia%Ej@)fM+Ny|_4 zt(Js(2thB$GE>q4pf~>v0N70f0|-)MZt(kl_Y&e`t}&JApxCTl+kglwurYvOl_#1I z1Md?ZzVtSsk!T?;8OAXP6x&Pv5RTG+6s7_TO4u0<JnWLj*wbklO&(aG#n>sop}7&@ zUAW>kiZ2ViMOOl~Y-RVN{#8;e>`NsU*1JhqE@M{McS;<rJX?x`eW%31`VGlsp<k&n zzz|}QpcDoDPKkpyw~=8W->BiSRf&`XOr#{uQ8uQz;9AhIOQxMg<O0wy)iU^h)EUZ= zknc2b&>Qt~9OOGSj;Nu76bbuM151H4w3mSfjsj`uoqshBpRO2EVd!^i9PGZi6bJoI zjl)mx9^?5AsWr^|sd2E|%W^*SaIj0hG8FVHH5WcxQe`+i_N4|Ea>q@M1HaP1Ko)8_ z2K-8mA-aYr#lgPRz>+Tsxq+vDfgxWKa#c-<fyEOtIp|kP4AEU5xf9i0O`=;eaxAPs zUdc;zJw+xC`%a02-RzK|pkJvm6s&9P&Vv$1bfG}ThPF2HmNu-aS8_mV)n(-I3nes* zI%V+mD<uXNg~~9{uhbZDn<`)2Y3*+UgMNM?c?QQ1k>Ask4M?^N$8zvmnePoRyESyO z-5@x}#qI1MYL>cL-W}A=Aq=L+;_Wc{0zadEAAe%8ZvExB)g}dJ2Q};noCd<rC=mXX z0J?xb2SR17wEVRfgs3t&_x7g{n8QEuff1iRWf+rON@NO^B<{~}yTczWR+Gv~A_Bl` zlkQ3wHK(T_`Ez#AJVbZGA97NH(&w$t4#1%jE27WF!qGeU-chFw_fp~UDe@)!le%K0 zOiH5Q?UIu_OC<uYP?KUycme@0liW*L0=%8GH%tZ=4knUeNd+mRvbi$=098ekOJW>< zZF3sAvha8R3f(w$Yqu(Pm;wBDc2h+#aX81=EI7&TlardlFm||$fde>kuJ8W$+bxY| zB#oqLVV|n!!_986!}Pma-Fh4K&h8Wc?Ci3uuhTVy|3~aLou{kOCY`YLcr{&a*!5@) z|5(h~BwbH$=O=9aW4%f567kQSU5>qfxWE0%Heb_K%0}>aHoco}Mw{tk4kWJ^_Zxgx zBK-<b@%y{cbk3IdtL0*yvc(O22a?=xrnBkh2MV=W0LY&}H65+y)A=o+b^EM4-=w!7 z>0-sM#xpiv+}(}llQm06>uI{$-+7`@c6WB>qq}sy9F0?!ejCkLvH>=aJImF7VhpNm z5@Da@W-*(jEB5#oJY$Tl(@kc%WI5V=WuI@Rvy{yjtGm%``rj%0Je}VxGKeo<42+DH zz?n=}C;@w!F+y@2VU=#~SM&UPfwc1%{P%6NnvSl4pC;RmB9r8R%AA~()cCUVbi3`c z_Sba$C!5|#7lJli-OsV<7_{_%c(Gcg;|=>b{Wh8`*tc}G21j{@1}oHDC*L^nBqPq^ zT6C}<b3=^pf&F}ALVT8>d+V=@)dma!@;|cA>&*(~zL~Dp8)@<q`ImO0U)Zm|vb~69 zuCct|tl5hf*yiKWX8e^sqSG$sCnxK4K1uG@x5;$EUc5a`uG;5&QSJDD1$)sM3@!)o zlXuXpM}8lzmA-VpM)@*h{*pP1Dd8IieFOW_b&Al?8rTxQIOKkVy--lxXMN~)&@T}M zJ8LEbU{|sP@Hty7;R6vxyg;@&qTOn5_SuIe^z2Eh;$8p9edwPrt`>{gn*I8Q4bmkv zmhu|}h9N|r`}O|*{y$%Tyg~|dF8lY@bdx666W)s<qKsE5L^&`EyI)R}c(?!JuN`cm ztdm91tDd7v46j;)tEUsfV7p+O6nv3KNzodw^45XwJzIRoCeGvG&1!KcO)diEe7%^Z zCqQbwxL-kZ(*k-H*7hMjfa0u+;TXd@_|AO6Ao%?k_FZh%bb{u8h3}<XPG=B?GqVC} z2K$O#L;U9fe0>juek|@8bo=>Y!@iEb@fJuQrt1v~ouL5oFZ-|We&9b}XWu2!{=;(h z2K@)UDRUk6eX;rzF-2V4-{D<+2ILaNTeHs?;xZCzw)e05X$pOtckP!7So$yMn6jS= z9VC_%Dv6koi0v(ZN8oc0<HP^1)oV95w+Dv@dolV6$lZS5x;X2w)6TGacEK)My$*Zd z8FaW<q6Z{AsB1RQ46RsZGy^+Mev~bwC%&vtVQXMAMFL~+XxRv|&xsI$W-Ivzx&g)` z-fY-CGLkP9VYyxifQHVVg9YblI!Py7vvjrUt#2{5VGN#s58$IFngZHMlKd>Yd%;Dv z2V(Ko7^gGn*u2pIO8iR#Wv%w8NcI=g8g7?;7<O2@HS7#eun%+9qMz9*AGrv_qu&8L z-p7%x6g>-b_!Sx|&!oR7?B3u|WCX4|-%Ib7FgSr>!F{^VBRV2-#iN}a5Nozhg_AuN z7WfNHhHmzMpa02TJ{Iayl!$*mVQrDPWDAHc$XXYt*f)hd_zym6VQUyU?B_P9hoVoW zH#dLFI0?I5TwY<{%eqoQy76?<y!*rOQ=yKM3;Px3L+hz-DZ4AWuP2YzY7ykm?!~)H z_|g74n%|-u^OnOjr?)Q;mcOW6c$@P?nfdV>1_>8`qGsmJvN>cM2yXzH&><Ax$s^G+ zU4iHD$bjiLqsj+%f1%obU1CKS7+r5x_hYSF&S7ZWl`?5!LqdE(a?Qds6@M)x#V)5B zNH&AD^EH3=xb0UM$AGrW#T>?CYwpSDw!(uUlxIeiJyi5!7_=x>g>g+5DGMipD7cb- z`id=o#qfpDuOTu(rgQXZrAzbZgrj<yPoOs|BK@_0J^7MZE*to%reS%fJSc3F^<_cj z6-0g2Fd~6sj}(@9rMXq1cd2V0{>&~GS*p%(DslfmGI}h%RZOf@Bjz?ds!|cdy&71+ zr+8L4eiq}K)KM;f|E(+iSH$z#4{d}ce8BX7@<N4IFlrO&8p9ZL9nr6mu|J1biq<GZ z>y7xJ$}S5!XEuk*Gr5R*rLVO>0nNFy<F`(;5TUJF$CZvT=>F>-=H`%>|M@}<4F7zA z(N3fgo|gaPS5}juiZc6(&>Y$9>!k=mJUq+EHxhl0xxp?jpk%|v{@}8`e)1#%DB_5J z?LDl5j8@~X(=pfwM^YzjvYLKNlQHIv5ky?El##j3R{-Y&uEc<XNid9eAwsu-29H2U znVS;aJs&5DNkFz5g0WlJUO^Q@wg34a_7aCEoQO7h0}rOmiZKIb?NTNBPd~Fg*5M<y z(dzd84wU$5{qujo3=cYkoZ`Xne8#tb%g!KUFRuCg<_1=k3ef=s^N0B2^7_Aw0qV;F z64SV<I0C~OKry!<Dp@O(eDPt<mvq3zZ>BJb7tDBzLX;?2zj5jXy%{EXIQJ?vfS!Xb z&aP*FYT8}>Si%}rHfa(=m8(Dc9hNZyeM}WO1`ceIUOtB+>WM=$xx~@uUY6^B1gzfh zOvw5FntzY{V2Pmfu!M;ytW1Jku2c34a({!9cWcuQai*DtC&&lr|Ks#;uzawF^+Q-$ zgav?AW(Qc#gV@sr7^coxBof>Ig5JH$hPg*brzrv>04T9AM=gvfNVIvx7EOukGw5Um zkzCG9Fw`(vp!XG#9{V-h+hC)A@#f6C7PgXA1W84cU<zxgs~cSDT!OILBmM=@%99Ix z!S-Nnl3lVrK3r~q01F7N6Ika(u5QSh0vY*1qox8I`(ahGbvkH+4%*aABHQzXJ<Mb< zO=_?B5*v>bD;UqMHq&$s9PkSjjD1!tq%_}j$@ad@=HJ)@tb5NN_90P!o=le~1?ow( zAL*2^je$HaREVAm%>rvPpZQdp{d1>%dGW4$whupsSINiLIeV=A1z)o->}5eVfr&c0 zbLNXy-6EQ9(X;6mvFFhZ=HgYl#WdaGXVWd-Lbu4$ZZJHq(ydO@t^RDf)wj^i=9Bem z-I_Grn$M<Na|_)fSbTtg|KN}Kz-H8X<)`lH)qD0B9atKe5OfY{MmT&nBOGpLgc@&T zo90c6;)h!6^96ngvvWxIxS<GMpZyD$E9Vei_wYlFXbGmGe2|72j3=l17yUaG<u#)4 zq$xPLXh#m(O*id`N;`JYZn$a3RN8e1?Z{2LPNm&+(2m`-n^f9=hYs3q(uWyo9v$TX z&m8)p6BTn<ne^DpV(^!(=l5Z^H=W?v8OAtbXv1I{F@-P0?D%gCK)y|3vr0}e5E8dL zU=9YEIm2G1_iG%+@8gb2F=)r7+ZV7+^&R(4?s1!KvDoZu6EplqE}?5vF<e{%J%VRo z*`ky>r{PmOebxPcHFk#on;1c45v}!q6QiPU{97kRyx-=d(R^M4+q`l(eR?|35*o~2 zZW5j*_U;zY5ql#zpt7<Ir{yf?WHMPjB&*E~#?&>2<g(!=d)NKFbDDH7x1v9pu9vgX z4;+t=(=~3(Ct{oL@Z@ItkWQwHoM+BQGREcIWIS7p|3nynFZ<yPC$r_rLZO{Ti|c5R z&$w329NrB&za{VgAB<0M2!nrQw$|xEli@UHeS0^ZR*GNUIyoIKdj2nHB-J;t!KFvM z>$Rh#eg2PHJMJYH$wITA@C-3yEdfgVYY+NV3J6%D(2Lt3!_*-|m*uP^pp`<ON&x{i z3g<0gf9+y_FK6xbdN#r4;$%1`o&FYd5J#ia9}F+9P}g~tN*bg>Bc`^k4rrxN(Y6Hz zqiw|l*<|V@zVI*JwmM)*2Bc8Ywgm;FZQ~@oZF3w=5}*^<ww#X9wsE4hnAa#8q?9F1 zAy(eOkrO@QDHgqC3XF+18M(b&q5+IVySW=b_<7}j5ITyFk3dnl%u+bGu$U#wnJ0>f zl{47|H5ADjzl4>bG)d=vS|z{|1+OSMI){?Di}e_SK4hdnJ)Kqql9<cu<aX&nlFudl z`evCx+Mc90k-u?Fz%qe2S^Ju*ARquGflcy#m24g$ee;EOyBo{6DHj_JBt19D3B?H; zJxr5-@7KvR9w&EvSmIqMv_NYfZd)&eS;K07vAR9MUrtICPU8KhO$z80YBu#r>|6dT z@q=ShssW!*Vq6oXO6*(ID@$Cxwzr*)aiz~Lv9ZMlK-sD}U!6yrm3HAAdl98QJv+l2 zIKnwjzJAA(gI*8R0Rx*-MMl5dkD2;aQj?*7xN6{eo8YK~J$6o8d$oFebf_$x@n^B| z4A-=ERu0SGPH&SG&w0R_QL-GbljYsWYY;m^rD60{d>fII2<>BgLbp@vezQm}(%Z#m z%1_&~M>r6aD#n7b#``~7IKz27R=)1^TJ5C!F1f;A*yCBJr#^hxB)!pmberOaX@ci} z?8V;D<IM<;wJDJOuRqqfy{y7qtw!_pIE9Vol>#|D>vg+H4`*jEnMg3T;GCia0n%nr zCNDVEJD-eJ6ZXhyshIam959@x-%>cA-<^+V_meakeueGCNpilposN^~JZZx|pMs=_ z*<Q>ys|BFuEYX|n4dd{t@{G^xQ#SB_K#wYuIB7zlFMmyUrhfZV(rRBN?bb!o?+m(q zIJnw6PjC|06O+JwJ_l4=P2!xkyDprld30E};dCxKS2a6U+;p=-v?v_yUH`1*;8v@- zxgnZ^TNN6NjxAP<tI+CJw8$e&y<>1@&({SS+qUgwVrOF8wmGq$*tVTaY}>YN+fL@@ z|9k7!y&wA2+TEwS`t*lW-M#i++qh@zTsywA(--#{-}boS`?k@`^5n>}-Vkv=4lsnl zUz|1X0q3}M<6FyekFyV&HQ8b$6y(Gy_78MgB2nl9rgE;$qKogQb|f(IHOPL^^aHQc z9;it6Auuq|=M@%^ls1SA(e?f2G2e|NIOFBD{xwQ~Yj)y~pf#YUH4t1rq91Yw*DSV; z74-VhAe0MBBig8xAgDTs#wYl;2LM75KLK_c@MdkeQ|slsG+ZljK~|+gAy*P(B!@m! z7rB^Pim=!vc((c_T}}kWYPQBnL&xgq=FU|rq(=taUs?*H0KHoL974`QFdI$IH)JgI zT#7?BEtqGl`3FRy3|B?wsur<tCvXS1hq7z=W;XqHD13y}R>e;jB>$H284&rq#j~p$ z5kRkkK9UGOhx2Gd?=KQrtI{Z9qlEtnd~=gk(c4D!JF1>cOe`s3SE2F}6~cj7a_~hw z`M6FvK)F=dq%i|HZ332=!>Zg^2*I11sZef<6+|LuSHBC}iZ?Df4RrJ4d$&_S!uMnL zZC3If$+!cFj&YUL`=9dF31H>+@~`J-gQ2Af5YX6?={y0@Z`>;WQ@#Eh0NF`)EI#L; zQZqCWF)d+&EN<}?Z3g602`5a;%n?usTWJujmPBb77<yvf`I5qXRG{2NBjWG=(b;6) z)*y2CTNd&9x`6>QdZ=!>z<*%Vv(Sopf<#sdL`bSsNT1vetwaLoKR?&IaE~fT!a3lL z9rL=iGcY8IJ_3aKqL=iwexO8=OlB0@3}973+G$3w2)35wyhsUGlSgOFQu3Z;!LM@a zej3FZHRG;}qjj30ykD0(M-aafOAHtdX0;G<#cH9#b}0i~^2+Eo2Q^CSgVC56nMF0% zSYm|I<7E69*N2Zu)&Z@Qpe~Kk5a-so@NtXmib`ATC?y59a8m5qzv8}+JYZ1~ylnd7 zNms4;^u1deO(6I#v)tCzYw7%95pLI+1c14-Q;`ye1rjRkYCxr#O%V@pb)X@d?r*FA z_{;aX=KN(^dE^bICI?vUMe5OHEsv)Obhle+hx{eNxWRViy#zokQ*Nya!JQPU!DTtE zzwv+%P-h2!@zfSJLlAYqwaR%Ys0A=^M{&{o@ehDI?gbTU@>QS?gt$uWgE)^9(#|eT z5(<2tF!=e1;O0fepBCLw16LE)TKGlcz*7%<flk`0?EPM>@bGPQaAZn3sGaddWQxuS zSqzP-RS%J1fMz<l+Ak>t+#zyv2#>b?CSLLs8#*DTz3?SON{LG_t_$PKJ|HsR399sZ zT!S2@8Di;2nK5T7GWF?2Jh8E4C23{$C^K)z0<S#+K?^~GJFcwPQ8CXa9E9=n5(vCu zl5F(pTtTj~@j7_HaA3*c4DdL8SuA4WAsV8{h!lu8z}wD(7|P&`LiPdr7iqu?!)@%E ztYBaSu_&+*mLghjBe3WX=Vr{fc8o%2GrcmDQG!fRJF}Rua1A8J;+=pHnqNh<CH>95 zeDF5ndgC-|0DWADUj1+H^HVsN&&=x_m3H>F^C6tCdaoa4!g!Tj=`elz3I!NVmJEn& z6imSvkWZ4JaJq)ZBN|sz0S~U=n{Sk$z^qC&N)49350igRmVd62Pvn6dRIU!SL<GH* z2eUL9EcM2d!OjtjgU-Sx$--8~!bZ))Mr*>YVCwYP30f`!*(Q=>frM{D(#OzE|0og) z7LQOyf}bG5U5RuSMl_GCT11*4A&yRhk1E0qD2Z^EK{;#vO%@=c;xBP;vd=j$RauPQ zVGRmL?+Z#qOaq)0wu7(|(^RyN6rQ2vhb2muuB1bPO+A#5Yiz|2EEplelG{qgavv^B zV#N|JIR=*ZIb}wMnklj<gMyhph)N1P&KMsrWrL#lAfa=JoGZc-->M}mO;#+*jORKG zSmXuqLlY<;C9O*lzeG`7fSN6kP>B~aqK|HcXa^FFk`yIzM3nN&aFf8c_86o_WlIF& zvZRWY`5A?zB|{>MM6oIf_VB^3C;nWE#!(VXp)mxs*NPN4u4V6Bi@|YRWQsyChA2^n zA||8)cWf|c4=BRoFG+4|HgxIRl}Ql*HB@FXN{NvrLYyL9LC2K^B|@0OO0Eo(Ylt*a zhMFNgDrrA1JVW(dRPAn*EHOfwg0zg&q~JK&GhUsSWvp>OVN8M+A-y!cSkDCGXI6Es zCM4u3+-!9~M8p^>WGUR#bwFs$7z!w`3J)V7Qbxq$0h<yzgG$IyI7NdX3akK9;5-}7 zSQ((BCZ)h6O`r%Wm3U3>->O9^5Y$aTXo{sIO|L=aqxA4fcED5x3Ziy*(5gquMThfw z6qrCouPUOhmT~p4g2?o$CYZU!H1pB}5{xODXnsiK1&0`U$2T5gc6HDqvUKoLM!-Zp zf?7s(f7>D0s(^@w5^3(G21Wt2?6``FF#j#Os>N6Ixj0$)XVo&9DY{=l8#|B`ZCfZ6 z(BV@N1$EiaY)VMV+)c_ysb%T^u6E%XgrUT<z{EXdh9@azEJlI&1(j4tP!-L}MsF7N z>LRx^)!N##Tdgt_G*iZ^h}hCwYz*Z?T#=Z04VHzi1;xomuNL_MbdhVC9Bf+<*mM#L zTtsWTah!LfJbnAUMhO5NgtAyU5?&m*RXx|=AK&4Wvfo>WT(Jn-32GZY%Y<1>|NIVM z1$DeJcHRNFIUq25pz;rO791anvx_QqCf?iS8li<Q2=wnYPfjo#aFvM{x}QxY-`u+1 z)jnh0Av>f;FB)%vE(Sm-EbaGZmoCU@{wqye+i(pF!B7qO9u+mz!_Ke%PdB2;GEw+9 z>4%ByW8fNnKSESA1c0B;D=5<)BoXnbSu<=ZHu0g9;69??AR$n=01%20P{N<^SQdu6 zyHs5TQ%pNqB>8i{UZHcPg7&0R1e9=b$a~CLU2oE}C4T|{3`=+B<!{++<nbv$0Ou!V z+=+nyyL<hI4>XLQNq`ipA6FZN;EwF@ty?>j))NSf4%wB!`boB-Q*z@jRh7q~WT-{1 zdcdx=O?)m)CzIlkg~Hg0GFz^^gTXKjbk3Oo-}ua2`iXbzG<MWU5Gv#Wp{k%Lne#&@ z9@&*4yjDJ7t1W1yS<2~T=A*QAE#^(R<LzNYoxS5&{)(NRVB<2K?`v@{v~I$@j*(MP zB_E65jW$G{FJjNQ#prdh5DRdrLMPQ3k)POTU_Ilaf{>ib#7{ZaI}2PcK@1nJ;+WKU z_#=Xp1;we~8`qBrSw-+NMKk9l$USF8{HwrO(nlOX!<LLx`&%if28j6iYLmSip&F=- zI}l|55*a3{LYs<cx;ww0lUo8||B4(N?U~ihLD`SSS)5z7om3|Hy7NFg5sI~)B$_R< zlt^ytqv}~e%N+kZ<z!=Gd6$*zDy!wYR48sU)G9~}&P{ND*~{Jfd)0eu0vI25-7NN} zW&+?s75+Be3FGsQ8wIy}q{!Jk6FicN_ad0L>Ww%MNtRTaZolf`D9CA|!c9pR?>_Wb zT#;d#wb;==@I@8sp*eJ47V06W9y&eOlA(ui_TPu<g-kjy*MKL)XdhoZ#0*8xSK$s0 zf>-Kad=56p`%l{oknM>#cMpW$y&C;Kt*rq4UU4*c@4sP`jc)dHq$a<aLP;U8&cVf% z)6NFCG+ydQLFKfd$f%cAnF$VKCtGO$L=0RHt$9#lBmBXhVlV`LTJ7;8z$j>!1W`a| z9_7ylo-9gu*=l%kFH|sxN-SR==vZ+3jNO~p0U}><*Ifr=&{<yxgVD5)q;Y7wNYw|p z?M=Rg+EhVpjKi@EDBl%`f3Vt<G@PL$NtW-CEcARPEiiA0&I@75H%QdVe`O^|lK_p8 zvjpNKDAFC(Qw8kpWFY|9iVcUe_R8ELMVOT}yYL1pvi<IH%l(Msl;DmMsDC9LpzEtl z8`0o0gFQtA*_$20%B9u32GjT{`62`6I{*AVB`B^il$qVUk+%0pk5eEl^3uvF!&ZNJ zJzA`JqaLJdD@I6zg~q(bG6dUiIdv7DQ4t2c$L=CJMu>o62EbwrMsRHM?jOYX|2gkZ zr@1EL$xkMLd`3mxD(E)@M~Y5cF-y=$o+8UyF#}gfHet=|i;?fqCdcmLIe!6|d2H%H z(rs?Kye%+Ue*H+r@EZKBc3G0D-zS2EZ%{TdsPzy74*a5n!MbFA>z(XqG9h!=WH$)t zs51F|Y!)Fsw`(<P<@V~%!0o=_(&J#+@6lNb6m5ydg%xg)Euq*OFTrlX;>3i~M~Wka zpeD&gNMaUaE!P%Q1+A+F6d?~FRBufc?Ch?ZP4W%r5EJde<%48|qcU{YS%Ap-Fh6X6 zmvowgfF)~Vjk0QEb!gYArPL+xaK9=xRGBFDM?F#!Nm!e~V|VDdM|6gn_@cR$Ndksa ztc}`Jt%Z|QiYv6dQawU4{a;@Q=eEXYlCl4{V?AdO7vBCAU`pUq@wZE8me)d2$VX(; zq3y*0wccfz7mi{>Rv;J}Lqbm=rqV-tYPJT#alAYdnFTG-kU4l)SWyU;nQD2ypK5(m zso}Gc3X#+x<@`W$$}qBA8}2a*DM*<ur7kMdcmo-##+{V*L8<efTq=1%nerIR`A~*U z2gnTscVw*#z+>SCy$YvhoMPLyd~slfksusZGoye`?~vf}c{~K%;N%d>Ra;$-cC3_` zqKL8@;7y4NJEP`g88Iy3_GuI56j7K9p?c)<YSEiRW2p|Fok^YJ@g(&M(8~vTk{#Jl z@gi9Rd7(ZG)Ca+U=>U$SQ{>YMK*LXiB~+X2GyQiDfMX2rLaLZUQbs7(6f;EmJv)PO zEldtJc|{gvL`2LUblX!L<kyxR^uz?cC$gD>0&?t%f>6WYxL4f|$vD%5w0qTbB|i&1 z2`;|GD9=OK!Q>yX6{428WB(IYLs(1jNb{h_3lH0@GMWwXqHn-A$7F_ve?#cmArpdW zB_%=%aKi+)8F$s$5MZ#SPJg$bQ;x%J%Y`yfW?emBkHzga$k?+rW_LmqA~^a+7Jd3^ zReK5UgLA~)o22Jm)!?CuMo0<@u4Kil3&ac|Whag8<QqLCZ6=HTG=n<hZ{9{d$nKhw zBIxr!eQb%F2CXd#yjRh{*|oe1(AXnDn*Rd?SWcoX7kY|sEmv)pU@)lj^F26apAxg& z5Q*go!?gK@=X-n<<XChVd6vzdMaGE^9y_URt)i);?1&B_J_B8YH0N9uR=WG6RTM0~ z8#X*-<ie3rmuuxEF8e@XfnL0Fri<_`F_<jHL}cdjjJi2C#?N4eOQpOoJQVqt(ILeV zuoU)<%z^0X;BlSTpuP>E@&<2kAdByw`uwQ6YuE!yPP*etoET`~Qflqwa6A30GRU-w z0S?l!s_7y0=rBu4yLUf$3L1`7vUfki&_lIZKITvtwtB}((BkPgyw*Tb==xHAe;^@> zce!ID;1pKH9Sx#6-Fv_p2zcjK$Q#QQkl<Z+caR6_#?em36UZaw$es%)@aS0uym{v7 z=rPB<gw@@2?Vl2c<M7s5$6G2c;iw_xN=(ZPyq~E?nveLp2N^)6U3uoAVdbFrc9iX* zXN2}<STEpxvE}1`vNSwS1f_n4et4+r8S|y{Sopk)BB!eZ-)?T+T;YLSH1eWNJN@pE zRGM_LTesQNm+!Zykt<hqfw|nI2CAmneT(YS6f4ANZ+%kFMzX7)IOoR-sO%IZ2JV*j zUH4ddckne`1$VqsMYL%BWH)ZYHKiyt-r&ZB-%!mCi}_;RW<sOs7mwSf;(}9e9(2W1 zEG(Nsyt0{C<Nb$J)aXb<0=LpmE3>d#r!FPTp2~8jbm5|O>TX6~XvMWOFD7E4V;ma1 zXEVlzROTfqqOd7b`8)Ig5H2X&AJ|2xh#n5r6%0RE&W{sg0ZQKswkkLPTkDUb46<5g z!4jHKX(1F9fIQ{{%8HVl21REgN`X>ZIw=Bzj-COPH~Y_Vv>?^0;9$?tpxyA%K-kU$ zpFZy>yD7UIomC81MH8O2z0y@AAQdO<aM%x;o?TBdI>rEXwWgmBQ1QRePp>X29AUs1 z6%ITAoEj&Zz8<VamDv-<0fLn!=}Ey_7P%OVuIU*KU%E<EbVSKo8M#iX>95rWiIOLz zR{bFJc8W0{QXLfmdbx=|cDd<KG4q#POeA%;(o@xzmYH;j8knF^9HuR9!((GlMLNTu z50<jXM4{pO4GWNQDGs-iZ*vw792w{-s7FWVw`V+0lV=*8E1jy0W)#lQ6o#`l!=<T9 zXIsoOTgE&rokCik945hymJA`o*r1~Fpr%7{P%MZ*i1`a<IlH^$ETM#JY>SE`snbg& z86JtwnqV^I$mW+PS5}YS6q<~5#y1%(g{FRCNW5<qW(e3{R|wy?lD7ZP`ai?k=l?Sz z7Pb{KD%x``o4QYTJ8v<8by6l1)G5I7Mmg+36b?VwmZ;owW0Zm;6I{7q^tPt~#Ku$& zq~~cs!exs36<Vb5;UxItP`JX>R3ZpQeejHqPzv3GB!8fng=1*L@D^PFEcdVAljyvS zR1T%2uniO#eX5fvnoCrtFXO5aDqxQKMCdp*phbORef2<MVN5^-MA1(9q>8?q*u#nV zCbvMANfmhEhety(|6%R_;pqRvuu?zxsMo~7ae@q+SJ3_FS(3!V1Dzb3SHj(qgFL?3 zNtqm*6i^yV<tC4UJz7B(zB_K4U=l?HpY%Z-4tMAhFLJv-?MCxE?h}|;xk^Gbk-SD; z6bvzDOhJT3M7#7hDb<QB0u96LV0RQ6O9%%HQn+*oZIFo5ppV{G2reK{O3yuf)uc}d ze4G^A5(!V`K0`tT{&1+t#KH5o5-bJsSSWa9Cm;wV-P7;3Z;PYSuwkPlJOMIj+Vgf5 zlj*lNO7EGOiZ$b$Gk6q{<>1tj$a<d;S52>o84`=gI1LN3B_yPGX)l_Il!ns&lgZdU z*sG8Oi@%brQ2)d}uE?G!Is%D<LqT~cISmkEz4z!SjuaO-f(Q`l=>5H!djazh{+-C~ zE&vQxwICoU^<^~i2>SMI_b_`Un?wpVrPKd^vucR$6hv2a_Ymj%S;1F>RK!0Swc9ub zPht-D5K%|~NQZXprF*qTwQ*_P_&(4gXt`~VXxakSf_*4tPEOpDp{h|h5F6Aiy@zr& z5zF8A#ajIl68v=fAb~&|V3fU`N$84z*IKZ&<Ytn21UWy2QW`3vAoAWyI1I(tU9dFu zQIcO!w}HD+5TZ2!GSo2TNTP_ciiQJwwQ~*<Cu-7Wb1G94=J9>P5CfWA^dwiirahh0 z80kWBx5N$VO;DJM8MWj?m4_Jdt_~oR){gPmvpjCMaP)M0K~poo>y;gxU^24+!NnzU zWtt8~FcZ>-mXc`i1#hYjN(pSerH8^Gf}=@voIh{W#9f*$LlmZqRq?4t=d06O!T)B? zn^vS6&%=+Jvji^$i+rNisFe39P;sy4tSLYX5$3`vOi?FM+SwOGQ*)<2XFz^Ey!DAN z{bP~fbvUb@<T{-%X{#fsyv_j#oR-Q|m?EL=4C-B&qB`#mnk~sxL<@w@mp!N0jPih| z#__mR6O}Dv!c*!2$&V9Pw27D&M$3iq|4Z1E)Rey7=?;-Ct``{Q38%m^jx1c6f(fyn zfP1X~evaWZsJH-+T(*f657S|qHg!cmE;v`2N2Ev^9Pm539R`NYjEV}d_*zkb6zC9x zf)@U(veu!J2waPsjEPnUgdQKI0G(lxGrKU3o}N*1fI}`BRuB3nnUe`x{1!jW(Z$@! z07Z{mg<1Lq1j0(YA91lQh>%DC1CDfcOpAvOFZNq(c-gI)Ga?Gv1bu0&zQ7pFUHhrd ziq$t44uMUiiAl<HOi={TR0BjHI#ff%FT8IN2%EAKU$S^f5>a|6b1u!FRU9DxLKQ<z zMghlevPG*%P@$Du;Dip&-hnBq_6GAizp;oePIa-VpdrtiuJM(OHgHZ82u2mQxU4Kt zT18J~xIn^$HXc1j+)emFipqC|$3I_hDq>wXil+!<aPcjEr*9A7QpnVa?vf8Rj&54* z@WKb>&!4I!QYg!b2KJt5hl8S-YP*RSJ=})e7IuxZ$^foJl$z%nuQPf@f*i13EJ$)u zuiJ50+$)h&*?l2)z4HEL2mGZaUi4xuy~GsYnnGgaOvjm<fC*b@+{dm3l;W|5-vlhf z>j|5dc1VF#T+Re&E)O0nhd16=%<Ab?JdX^n9j8eP&MPgR?7!=8RI<wYsj$ik&Z8Qd z379+OJ_>a3<>E-5!<duh40$CBH?Oc0yEb7z^vf|5{_EBPr`_T56B-ZCrQ-z8r{Q!3 z5~481cIYt1_Q*7L=ZZW}6^D5Q($#3)1o}v)t_eHe5CtG8FmJopDm~2j6C_=o4=G*U zWM6uS<J5YH<C%82A;MevGNK!eb+dSfr^($(EnWtzj^76kqAx)sH3(j)sDhF;NQtgj z1}~l{88wy6;@D^5Jg0!ZE(1!ubX>&U9xndki27^PUqvze0>+9ste^z1?^Wt6dD#Q& zN41Ipi3^aFUU`KvT!KGZ;$xTO18Yh-d(<3I>fKBZ-%vimZj$7wzL77Wiz=ZolOL`` zV2vwFv}WNl++1{rmq8`!-y9FJE#_TMA$Y*WIyOEJZk3;020QqLwwfDF<7&9+AS_31 z)&hU8oFP>UFcKz?uL|@N^esn_M*yN4Uf$9t5&)<|LJ`VeF#VYLKc;FZrJE;}#dFZL z0*xBxdTv~=GVUI=Prk|mgNDEPDYX0<RPnxB9}KTBhr1Lb)zT=e+U}VT5o0#yFmA*t zIEhZ<b`I*ab15kx%hbT7i%HHSzKe;q>sA-bPVeU&2ER<3?Bu?&dwg^U5?}YNOC<$R zgJZ;^pH*~E?lhnX`sG<}o?L#4jD-V!Tl(?c>6cyKE1b)n>GBxHGH>5?TyTdtPXn%2 zuZ#xG<QIZz1mq!Lj#NVgdWilmu?*imx==YHzGsAXTp`9hzNMHN2*>(v73VKuiw7Eg z2^kg&$d)^=nP1T>E|eWFd3Z8oCHxDZoK%}-#QtF}P1`dVdb*7Y--4<Z;6eJ;GcDV< z4euiz+x6s6TE^Z-JQKI^`-!T1O>)=Leo0qTj&WvBt!^KWplC3zZMUp_;(Db`mpp`i z-R)nSwDSrLo_Qx$4@bW7;@kgFo~2Tp&!S;UstULJ!iY4fzh#x^&`#@PN!kHa78)!| zR$W4Jrp1L?RVU0zn2fVBql8o0l}Z*#sHKDBpNBo1Ngiqb`E$_hk^`h2Fc?%;{+=j8 z+8F<2a27+X_4VLtP&6o}XsnDj%ACtxc=FC%Z*AIt+}v;(DXdOi4Nf8W4$N5PG?)2G zX5Du`*LrFrg-+Tek7pHM?sotb3z?b!apy8>nVKkdGc9Knp}GuenQRM&-B?6Ol&e>d z?2;V%3+PDlDF-g~ow(#pD=nF-p1*Rwprh(=-^uG1B$iv;7Rve(q=yLeW`RK*v#b;= z`LJU8HwOJ`Y(Z8fI>lC{cvhJb-L`h}9avSgn^<GL#V|<4SLIR~0D3K%&ehv)5DJKe zhs^wLekn3`3p{7UCjRg-+Us$cVC!Pn9b?YObPavB+UXBY{hkAb$+j`~+%*C+Y}E-1 zdWhC+hb_gGqI&Y40dad(RIDIAJ#r;?oNc&$VFuuazw4X)Hk?(+r?L1#2a(I|kn`yr zA6dh>3QyuVT_wDK0kr-e9A3Q0!W70+W~4s2BN$#F7)~5V=bGtFOAyW*;3Tg7%|iG1 zJs9i8$4LT|=&yxHgQP>IOx_$WVgZ8YV-wzOyV?a-6{^j%;ft;f1Z5C8%isLfce@X> zl-==Kjs!N0)0+85$J87?FQEoO*6^bCk5HJAIsW>H8e8O46~Mu;$pSi{8>cn!0sOU5 z2Djhe{(2a3%(D_Mz4ajF!{CZd%)(Em1U3~E_|2%bbUrr<4m|6Tl2qVpHBLa5n0Pau zHOvBM5g!kV+XS!N*#ZlXh_2U6jrNRkB_w_Pjug_l#bH6{J3xv6c<az`IZ+lW2}vS} z;2A$S!|py50dS9Y#^urTC=T)VG{w@UEkki8E8<j;b}TX?$L?59;jT11d?A^*^r)RN z3jM~NPIsNW9ep<9=N^p|61sMxQR9C;_4w?|wl3%@)7>{eZgg}H9SEB$d{)9BWRyWx z=)scVEzBmAM^C2M-XNX8EsN6aj$#B>oZF$nVNtoV0N8l5NRgR`ZxjiGGNW51+fg4o zHe}I_tu`;~+q96lW{}0?;A16!n=QeAF(_(e`rPrhaaa!TVi4l#4~{9Bn0=W5iUl<h zw5W$Og4=Bjd~Q{O!1sj3u5_g;Rl3X*UNA{3uJ!HsafHw;!(SBl{bG~g*4H4GOLV^8 zui~#h2Gj^aj_)euBo3O3T;nbFdDamO{AQ_K=}XYE#46)|e3&P~uS{7PyPEGO|Ja@p z1nSG`Uy7}K@YGbPQwu_kDr%lPz^U}xiS0!Tp)$QabwP5!1YHS?L!2+!zt9mCwxD(B zPWidbRg>yfP#0?!-1~8Y&GKZ^m|KN_U&d$_0t7<)a^mP4(-eAei0Re*gwnN!)PFM* z8vorG&rIe*@od-=oM<|o3R<4Zrd*doFpfLMf{{B(bWZPww0RG+^}qPY+(0_pcQ|{6 zaHemY{pf0vzeOP1gRlFHPUp87jM4DmAkmMwQ<{AyNJJ(inywIC<|a@5B$2Rl8Bum} z0i>@o_N-RRsxvh=O6kXBHIei}yTFf4U`bVy8&T~oM;JP%@g`~e+wvE(10gyti<J%s zQMHg$fVI;z6EE8aj}2s_FI<q+Ofc*_v6;p;$z?@}g}iY7j$?+zORrG1V|56hvH<sw zlqa;@jB2~FV9!QUvC~j#_L#juxQy?U1}L_gE_d^Ch$z{tA}zR#7{~zgu7*4qoF03} zIYKOV(DBSNWytiVSaS|IdO@c9*^{0?vfUbj$ggf&vG&MnUgfJ*^wl=18GA)$;_^Z% zd08xOyvi~sp=wC4=DJ?$WXG_Nx96J}5q>+qe0R}uzz|gnN8q?lyQ_0FrzXH*0sLn> z`#hnR4p~-zoyXshDn9y6Pz{!>FMBTj)4htfUsZVYGa`{+B=sfWi|R~}R8<oST_`%y zEkXRtfKkEY`kX&8EiPa%2j2~$FMSec$w8e{oOV~Umh+lk$wAABJVXE-v$ZY1mrHp$ z#PHai@9xk+;3G|W`QiMKtUK8)3s|_hH0Ws~9EuuhIyI=lxEdO{e4o)T{PJvY9Och( z1ym6>G$4Fh6yWuIcm25ABDlI@Z%m|JZB2@`TukikI-9pirT*fj44`c<mSt>i=`=;& z_~<Km1@dIAOpduW$Hk?QTU~-~2!QE679AQu<0=%UA><#Jo-6RYG*8Gy0#IwHIwX9+ zCMx=)u;Kc$pWA2&MbgX;>O~EgDdsD5D=i1&^Q`76wGN?g0;$qn646}RmY1U2CjS`{ zWzaGB5wI$+{X49R$;o}Mom^Pnp<`%sry6M_EZY}NYhz=BjFvXlu5ZxRqGsR+`Kov* zlm&8B+fOquG~%Gba`Sfz29VqcF}So2(o~LKzeE5|S{<h#KvzPfwu|n1;za>&)Szi7 za<=9K-#l9_<}y=nzVi8XcJ-wtB3Cz{DiIk7u75az^fpXzsf!*q;`>qRUi+tX_svCw z*Tt|&Fp5S?NmVhCwfyZ19{DLdG~mo%TUrBeEzL^<#qrs8*l1ER2M{-N_heF4u;L;0 z0A?C<lZ{_?C=ybM&x4zps62cXjpDks<%HM9+Xi7)uu#Ys^gAbMj|e0oQ-l|LS_lkh zfN1}$q=Xtl#l&tjq@%^r<ReL*e4uJC{3<KTHl(Byq4cq}MU<(^(Z!5XC>cimdN!NW zU=KQGTTA|)oKqxX7tq7q@yavcoA{4P%mKT*E_uW}3|=rgUeH^Il=$$riKTP9%Hg7F zmApSFKuL_qARIXhSx5*^9=`s~Jt6iXOM~4uQ|CQx;}l6&H9-WwxNli<(5k;4xkQl3 z7EMUMdH3$HEQXxb<dIVZLqJ+a$R}ws-#3`2pIXY%LXN$t7+_c~d5fC8$$bi|*k&s< z94LtW5Dncs@Gk>nOzMDR%C3Kv2+2e`a!#m3xJBmnQG%a7o%dzRCa<Cn{jwF`#)eG? ztGc{(1PLauI5zK>Hdu3WV6z%L$O=;^aJsPrPMACS9Q_vYj*1I6GS<PWC0w9UbuQiw zXW4ln=Mn<vAwWF#L_ms0<H|2whK?>kI#Re|zW6|j)^BoO!K`?4dovq4kKVWNVi-<* zMiDM>l8T>B+IWU{O&eP7L31iD_Boz0_B?d+BmGsVq1dq!k18L57fh%l$$|<r&Snn@ znWW-_x_0f}3}S;WliWVphN9d9YFe~S<kMWTq+S^V9&jm3furom-vV{es?nFej*r?7 zZFLYfc)R#Dd!ycbg>7fVR6mq^nFEZQ_$f$7WTdqYnmTx=f*Jt+9o1Vv{%d`nh{8Mm zkseBKxuC3#68{dtQQ;U$?e{(cE(Rn-lGxrH${}J{#_$BeW=j9ST(*>1tyQroo@1@R zFF3Ls6aaK4xuT?@q%<iFANj^dTyM&!6}mzW=q_D7LexQAf{no+v?0Skg|tVYR33N~ z;#tKzN*d)IV<+FU(zTgloHNlGOePVp^8;peL2P2pZe4x|jzB+$;--gG_bD5oOxj5F zPF>d?t-c(=Z(pkFvdEf2YvcVY#b+nx%*GpaU755cVtxk8{Rhd2zZ-tX3>UrHg^{>z zL_Jz`>}w0TzT0bSYd-gc26KTQAgdh@6Y?`cc9a|33S_2?dVZz<VE-@a48;E>ooR?m zZ9fA2$vV>_>jTUK^&g511_nR;4<%ZH2>e$Uy5tD*Q$T+TSj&<lc#`3N?KTVGj7ZS` z%UL7+NXnv>T66&Z8&J!9Xk=iWN~{?-<3mA~nCI6a6qd&iBWXfP#PtiizjhB5!%lFw z&@gN+_^2%}*1k<-^uEBUO=uQ!@FYG=@5vXftCd;ETae`iAgAi`GU+@cE#H%M_Bnm6 zlFjW>K3#TmrmVW9yHp$!GGq2H3C2!gruz-kzWZqD-`i=09>C|p8gPCRL(p>;veI?E z#PK!yI91bq7MwV<^>3c`;g)oz>%AmX|Kma6Wz+l$aBM0MNbxLQ|Gwn77O4F<j0-rg zH`g!mRmwIt=RHWD@gDv*FIO+iH`n{lGUxx`n(;32Z1P;|yNa|1D_#U8MYXCQb$$O@ z;INY1Bs>=slVwp`)4=9%eW-tB$8}rStD}?83sk`W{P^li_g)7p&Yb?d`DpkQUaNQ2 zL%URmWg&=j%xNjwWEtYljDDfbuHw-)kE{Bns@&22Y9`v^&j#@qEY;u$93J3}4s}pz zW*r5&l2&v7b}^-X$T&RYG}J1=IJ~!4nezi`VxIn~RS$D$rW)~0ozFY_ZVv|<5@_0~ zW%n$0YRU2Iml=E5JUQm6=jvCQcfCb?4z+4jV_}~CH-@OE3*ODQ3R{l7@<ONW`f>8b z3>9cq!)Sz4#M?;9WDTH-Qr?bL3YWY(VfpM+sZnhxQl6t)0!3%m9ir?CGi;NFwCQmt z!YLuXM=O4L1$xN3F&a0$vAw$SF2M2?G}`=8+$yo^`fPYjUG!^bd_3xVaJ-Q|qu}D) z*2?ROmo2j*uw7;=#_WTE{G+-UFmX|5?&LAPoLzk_65xG-Y79_ebJX21T~4k@89QzP z;6l;r(c7&x+QDOP>2TCF6>4dbgsT=UA+I6nZeh`1CA6MJH_5wz+r|{tcYii^-(|G; zR64$^$?8s5x9o>Z+6+l?RQ{nUo5&nAWwkq<GMG~CfvvtRsm`nnyF@T++Azn~RQ{^- zev2W=)XQlf+XtxYcb3XoT&TgzYEiyDSjAKk%+&*n3P>h)s*?4Um=Dk$Q+Tm4-H*rD ztNRE=WuK{Dt?Sxl4T@c?+FgfxZ?#uPRW?PiS7gp5Tg=I)U@TYWX7#XcVB|>IZoxhd zLungdNd0SPJ8#R;vifLWkiy+ickT{~Iw7aebt<$OsRNu}7xH`Y9LRbO&vS4E0+y#q zG*{Ze18UDQr|!PFhHEkl&9QYEp(}EiXi?cOImY<-Du}^3xK5-0v9ao6j;<F-MoQS0 zwCfcjpkpnAzp-uxpSSwvY<V0m47J+1HtVD)=;d_hob@@(nnvC`Zn0EzcD%96hRBi7 zrRs9A)B_Icm5K!>_FdD8MUP5TD5a}qH<C@?E=Q|Kf7JcnQ)2r|t&B(~GDk5Kn{-$N zCbTD8>e=;e-z4~hbjU^4yj#-B0r6_7+V!0#sZ6^e_iLW)(_-v95tf<zQZ4$V<$~-B z(G}?Ru&qN74c>O(b9plK@KU-C|K^iM*~7_9T>zT=_IwN0^o+9m=Q>*<R#mn|+g4*y z-UaM{V%x&c==t-Ey17f)5>xm@AK`fmRhQzKV_j3tX4sw{Iw2hO_8E$PtqJjH+WFt% zF4`^r#oF3dpx~?5#Ke;$F4AVA=8P0)dnMr_mU(vAnDjL|EBAC2ay3off$i1p-Dba1 zHvsECTFB=wKJ2nUDM3c<Sd8h^s2=Sb=8U;5%g&Cx)WcH`Gt=z}^*+8&8hMLtH`6ho zu4(v)u1Ri-F@%~<w(A&iM-|ni+6)sGC)G`zRn|+tNhYRjk)KMM-ZZz5A1X$I*GZn3 z*Y4KGE={#IAlH4obE>d9#%u(-?he9rrvU`=m;MF5`gDnb&2(G=qZ;6Wfq&fKs(G_U zS&sf$t|$_^itw1!KOKx|O-Lu}WP7>sj%oWLgFq4a0sa3Uk1__AV~!}LWOc@EzC+Qb zTFH&sYLca<RM11M=IB$ft}7OcfI;P|+36OQLTz3aw_^>PmOn?F0GCXGR2pLrX2Oov z>}yqbsfB<RK<8N{Jr}91!bP$rE&r=ctxARDy`dg~RUL_`&%rqCN~%5WiByZm(MWgG z5KX6;%M{K-!&>$w<wbdKdAjSrjq--{@Lme=XUn6Q2UoUgMl^h*x8PDO7A&_(4wMJF z%kHrTm?ph!!D(koK(f>W8=7%)k)<b9m7Y(0%xE1)?Vk!Rvh8jK>u;^fyY<M6sni+1 zzkuor4urMr*Nj9O`u4C#thQW71Ccc_!!V^{<zfmG8db@|?T$m5skr+!TP%9pl49kh zr5k?TXuG#jy|n<NUjrUqZ1N-v2?bXr=zogH$%2LvzTk=x0dJZ$(JNAu$`=Huk&vcn z72qy)%eiy&tTb{*Gn1j$<?>#bwU0=W(B!%BWw@TduzUhJRM%`o8>OO@W?&K(#`v^J z2-|6win6PdRMm^@RrdEeV#`g(Q21chQ#NiJlKX`D%9S7^s>s442Dd|c3Ak)TCeZn6 zoI99#*i0dt0kN&!3FED=i58f@T_De0OI?(oOc9@Ee6+Oio3$~@BZb?3(Wa1g#2kf8 zYs}#MsktnP+R`4o6YVISqG3vCNX<7Cz_v<TpRj7ya^<a%@m89ewmh+)42ZLCX_n9; zSy$ZjwDKBDikFeqE3u2WgL6*&9b#|KVk}KDGbDvL20)PUJuqfbFl54!S-*-iZcmRx zXq2`*Bh8J^L4g<{W4AV!nzhrwJxT1sN%qZGCvWN644=jFC#dJ4#+|H;FWuxw11}`u z8XWw^vf9JfA;^|{utBwcr5&wR6&oI{gei@i<vq@I7kn2t_uSc9RMfK?*#1a>J#LHf zvF{Kn1IW>CRINC2acHY?cG%j|b)gw*bECR{KC}v-IXV}f7l`O4T~Vt*_9EE*9T)A5 zt0woRR+w^OT=_-J<$Pg-PLd-gu7o<|j}r&`%BUtjoy<kf)u`X8JC?4QGBvOV$ycc? ztvasvsJvD$#NTW$5#?xUN>4~Sc1@eW@#=c&2zX_Sy=cxaAIlud{*kCFPf|6NN@rA8 z=~UJ-k(#e@p=G(Y?fOEJ>7NZ*-rAbnV7U6>bm^`{t;D*%v<h9*6!=uN29iM81peme zr@SQ8;ZW1+Hn+GTko~!LWoZuek{{3*TZpi2)%sN*%i>ygDCzily(*WE?c$PmxK#k7 z3_#cM%nu)Mpjg&oQPr`J4+}MXA@tNJODSi42uA&Ne5L;*$@aF(br;CUHoVF8>({@g z^phQzmA#^@n5+jL^{mx8>)WcC*2`}5=;RljU3-DGudNgyUY%3E9oCz-d-3Xzdr?e2 z_c>|8*T{dqFC6lnC-MTHOBFRcbqCz!wg9IS)7*CJk=>Y<T(39#%T}iLS`yp+Gwq}Z zzQmi@+S1LQ@cMV5wLHSf5|h%B&?^Wtj%>o$>CsVt%XD5MeKxsOXGGzhPXd!Uh>?kf zd5ZhgmiV+tK$9#&6t9DUQkiJ%M$tVBqCRDnDz$y!fjDNd>|xD1#qv(`SkPno4&eI= zk^n0uvEEXW$G5X7fV)eD@VX-=Cxtz}$12n0qk72DM{WI(2Qdn6qI=?mJ-qtdxx&r* z>)!Fex0oMw%`q<asu8E*OiH$V&Vz@)h{n~X2l3i5j`1&Ui0zD3S|>QFeOl&@fUmUk z3;e^cd5B~w=BlJ@wR1I7-%a1xCx9oLy9kAwM{T$)zoXYYByXuUW_hj8hd*c;&j=nt z?U2t&#ZLgu5J|>UE{6KanbF^+=PRqbX7$x`ctri|amORw9JM7i>y8l@YvZMmQ0p&~ z^=^SXPO^JLg@2(7^E@ffiQhYBgw3_ys#c5_QkR^y2f|9UZGbwuF_v#<8&T4Ga_P5P zJgqBEX~tv9{Oo6vOYcO?B@1D4xvPbTd&SzaXjOh&0fro|2ejhiB!>@bADQ9tta;ZW z73Q2d*YQ9k``njQv`26fKApklo~>IFZBzeZ_`(*crea%w`^BQmQwD(^3y&^~YUZfk zM3W_=@fpI*4D5<MMd(#=-}Zt6?9-jrxo7I1M{p{@^_oot2Ql}dtSY-ca$CK$-eR9` z@sX7wF07JUx|Ef@OOk^`u~0dGwoAGMMbR6H+lvlOof+R4+YL_gACLN|y3M2W??V^E zf4012-M%Z$d$PzXTnBTYV|7Gv)+(w*xz@7aV@Zv}?kq)OBZ&iJ$W8JLY&cK>#!h=H zN!-+8!7{;`lK1OLYK!+Xu8lb%@ja)OceZrAYJV_XL^fO^tW0Y5U<P)Ls*doBq$u{| zg(P!j_S65a(}_`-0OJ;|wPPwJS1YC(Jb_cvxcDv>!Z*d~wzO&IbQMPFyj!4{9-9^$ z{%rRoS#FpPnpnt0gJ}>Ftc6qSp1|n<LiNg5jYkyhfbz>(QQHhc;`a=~r&VwIMqN?z zoK%FkQt-A<m1z%*sAUxBrF15}S;`_>naNPGP^Zj7Xw0Yt^Tlk0CKfB9E!tmKINStE z9>kRduKcy{pY&`T34hI`Ku?9%9NSKU*GG^~XD;5t>)YJC^|BMX(wkq>rJBFM?@ry% z>{1P%!La}&ZdS6<*Scw{phv(>q`nt>RIA^XTxajVT)iqPqNi0|?PA-?<xHycJT%P$ zOW;+FGHX2qA-ezO2l_X(9-HTnwB)Q0sMw^JJGyK(40Vc8trlg*5k;>fNhRZ&<E$?! zO~m&JMoB(?p^xdtu$+6G{+$U9_U!QaV;5+P?WGIog3teY3e({CV7?C&&|)A-;5kuc z^0Hx-G-+v?ItstU1#Gwz1jX05D}*<z7~YVdbL3=Tox#_0zqzQqL?A9GjGUi2-DI`@ z#^w4quZRMM5<f6yeXM7(C}$b!NdBV~|7;_;!PSMZk+XS$(^%)-=CATT-rHM;YPEMe zrm_skf|y6OX_24|;CeGG?W(UyC>-TVu)Xp7qB9e+JJE`xe#^BulieVnzo>1nuMR|$ zeCt)zyAUgGP*o#d9`-TdCG8>4UtBP0&Pb*ko~~Ov{@btF)-gSrUQbE<jw??yA7VAx zPN>8~txHt)4VUyJe~77W0$}^~w|EUido~I1X=$p&by1%!aWxTpm4m17UXmLH_Cg?c z=*=;AWSz_a4ls^NC}BF1zOE|0yUQo^T-!eVW?E};<K1NRA$wgGaX&-0jJ04%#@M`A zm*Q_rT|ReNJrX8g4?v2g^mO<Qeq1{@qrOs7uSB}PH^xLA8%p6Z<^yo-@oa!8(X#}^ zvRI-_%I08m{VU1kL)LQ*#gmZBolQ+i_Gz@98MyZ0tNEQt<ItBQzv(<@dJ>)Wd4}5J z?Yi@%E-k?P>(`%#7v8TP{u_1v+wTWE?ax?&)_?RfZ~BB@#;-T}TdCdFcQ4&ft8*uv zXH#xluOS^du2%~JRvTmln|poNwC_EDb&>gprOUS67vJyp6MWA-ukYtU<CTjG0o#p1 zFt^StQ2Bp@iPHlAd~Wo0K4ay5_UV&jJNKfGIBX&p;sI~VF0ubs|Jc2+x#_)xboAdQ z#OAcBypJ&uGQe(e&HtHXS2Zx|-Tr6*<asj2bx}(6-xhWKJK60E56@MFM9^^s6vCC* z=Irh5T}4KoT}Y`9J;?Lmr~IAyeD^K>_Q;oAS{t-V(BB;F*Q59J?%i&2uNBJNe2r5w z$D}+2d+YTFrCmRqt~fqHWY7aRd%mv#bjw0@c%EGcT=h0L?l;um?_xJTFc5t^90e2! zbJJ4U+Z>IIm~SX~TnF#=_b>DSZcD0&0^SaHrQ){xzJWzPOnf>EgCkqr6+)Sv`tRYF z9mn=6sq~hKf2O&*(n{2IpI|H#ow#l&`8ERzPP0KpZ7O2z(+InIc-6b>xpOKu^>d>B zNtEnRp0tP7Wa9|nS$wDoV5@u9WT+f4jx}qR92IGP9|{8z_D`#SC`7sf7WbZVbK8Ej ze>R@pXQp;M_cC+u^?15Xyxfmg5A!<NvN-8>>tB@4DVN4xHtsG|pW(mY*IG%8$M*Zm zYS*79nti{J5K1dlEUgN>{j;p_71r94d3rLMU-abR==hDx=^*jAW@HuuAwxp}w~!O0 z8!hs(V~WEKKB7Zg2P>EW+j{pU;aE9Yye<PRxj$F=<UIm<>*DM;t+t7mBe0889E3je zeTHm4i_K$f&o+}aSMu-2Uc-B56&Qqko}Z`Sk&s2^aF{(BweEu7l9}G#uLsq#(+mvT zu9>!p3z6c4?(copyf%raiN0N8S~by)WB&7V2S>1SglQ?R4mYrXi)UTYOdX#MTSniz zzQD-H`WsJ!j~wgE?uiCplF(*;=pz+&5q=E*lQ=K_!uDPAy055xzBQld<Q<y7_j5Iq zh*w`xPBF<_D_B>Ie_7}o#u=ryR;Mp*SHDub=zDyMbLXG`7_`Yd61&jyH91UXi%PcV zg{B@pEJg9BOt~2YyZ{Huu3Fdji^Ef=Zw4DXFP$*9)qWS?cG>xGSN}T*;Bahi_IAgQ z{iatdqQh-*<te1$vt9FSzBg%iJvyS7pd84UYOL;O)K{;jPm)A{_b9!gERoo}bbk`7 zLhlZDa(!BGp<NcT2Ps62Y@Yp7pqyPQ5xbm@am3JLK6UsbiX{PY@Lje>QW1JkM|fYW zRHZi7O%csk<Ko7!P7TeHUaqnoB63E&5l!NCHr`YZ3o}1PC(fMo!!a^%IoMd8AT?<W zWIYcw95KdFV5d?brWG$}drR5fC>~p_h1s+K-0zFN449LC2oUo)r*IQa>KE>$qyAl$ z?XuC{QeFUAv*;&OvD>?1tm|UG9)?XI^LS41xGI&?niOw7#AOaG)30G)2!<Ed>5zT< z08)kz<_*Jp_C`u@ey}&JCiwuJV~dY~PSMT$N94A3#dVQtObp*QN6$9#2?$FF%(_4g z{6AZRm%kdsa3!Iy@?H!bnqOQj?BQ)X(k`b8qEG;Al(Gl?_$;4MbFS6#rvdm9v!+d? zTy$l1joFw&1a7k&`L8%4>qH6BPCdIErpEW#1-8^25=UUWaeOJ6l9@#&>tAcFl!hyz zyk!y{<-|2lmrn#Khr@~WI$1P6Vz^J~#t-w9X34w;t|TgJ-88B!%HNm5L`itjyl0es zTuOinU+8OdZf7h)H3}RwYvW@XDoAw8EUzPiuwS97INyT2$xZ2cOq67immSYjAy)qa z;&tv%{D*o22~-OBR2^<08l@)dEbR8##ME#kW)uV}w`ur9wv+JG?BR_ImD1b4*g_Zb zcuUD+FBbzw3FM0gBzy_`!_0S1Z2AZJaufm2La_A+9WCoGO4#zHk0!1}>GdmuS&Ti5 zg(sAzjLGebOetbX%d51}<`6r!sYyM0p&wql{;nzZ`ll3Z^Vo;JqF%+bf3G41qRCS9 z=-lRP<(qtFN{e?+IkzshXGQJ{&$#)>cz86&BT2_siOcNu!7M{;cx+%-Q@t`|5NH7T z7&afN*O|YbgC^O78WX38b;+Ou%kt`tcU859%JAg9p$hGv^D(Z<1Fg~Duw$t|0%AiC zy*brBPL5uni-6K`a_h#Ve6tO#0Iv-6<^zN&nbM$dbs$wz^^u9g^X%5K!uN9o>Up+9 zCtV`Gof9r-8xZ+&C6Aq+)6g4mcisRjtK{(+$<j4&udl7Fc>L`65w@E|?$sF}n?I}D z3l~i6b3faL3U5p36|Ce_QS>#gGbsaCIm=&cRFm)P*l+an5gkqH<9~dJsXIRJWNx`o z*Ab0P+6xvMb)PJK_;`-?8^58NWo`7CJwqQM&#;dFP7M|Q4K%iwFJ>$~+`k3%(=y;~ z{goP*7-4#Ux=*xvR$GL97PyK4M!!Um^=@%SU8(LuU;8TFPTA?6j~1L*Kja{aRxD#F z)}}dn&A0dt+&hId8EO2wxQX*wDf+A*(eue>PelkmqFPMWxccguNjr?Nj_st$fTyiP zoM|iduJfVk(p}Ku<e~f`YyAS8e4DuLy?O3sjSvXjE!uluUPZcfAEJKf^FM6f>^!K? zbnMfo_S{W>@PEE`5q@XKcAfzy;jcd)5Cxug_uT}V9_aP?-a|UB-?fe`3)P=pX>HE> zR=o5>pBHzlq^6Qh0=FMl+`82Nf)c*(?rZbEM#c(!3t;x#4SUg?oTUO7x1Ty&I*;15 z8L_uJZd1CB-SoU3L|ZnS?A0p?KDS%Gcl&64&zf;h<T_v0@00lcTsvZ&X0n(4W+*=s zZTlrrjll2lu<|#y!qQ9Npf|R{8bfZ}w3I`RAUBSQ&u2+>VZrM;=pNRFL;fm@z23dP zr#&V|%$4BU@-CZ7r+OQZ@^_lfcdKcvrH2%Co?<7NUGXEdMqxoSvvf%5tk<8<tGeg= z0FlNr(W7i^<zFG{(%LJxn_lCcDX%_>ed16JVe92_4dBHqR^RPFn8v}@NkMkPws*u< zAyWT+{`OWla_4opK*yzL;p_KjDlgX#cGuRQy=Zm&JAo3y?{Y1GP8PR=f#cmHsJ|`h znp^f)Q!wK4`rviP;hGSn+~~)cIC%qo30Wv9;qQAILaVtPZj%}PMLG7UMYg*nCG$l+ z^zoBQLvjFvaZzJd_Sh3W<KhhOObp_h8zYLz_lL=0U$^(|-;IO0kEYIHK7e8w?(sF2 zj}_Y3j6Kd9nqCRu;e>6wV7l{jl)dRi_1xr0qUibf<(HbBs@v$y&e{xVw0G;xKqzf- z>e~CGxO?8FJCEL%W`{Mm@9yhk;Y#V1x(+jMXRG@`^g^UlU^brDb*EL&Wk>fNW4PzS z^9VuLWav%e`ziM*WK8uuMeI7eCU3j@EP*M3r-f(xGDR+cv#a&>YviBlo$SU8gXbK@ zedm%dv}|=Bvw&-`&0Zk~;cKuZ8(aNJ1nqQ=s?>Y9Pj%;G;=HQsc{Ak5V*HijSSUMo z;-3UxXwvA9a97&`0-wi?o$c@Y(3jN?%%c;&LwcFI8*ZQXVch?RtG5b>E6AcnBWMUA zcyNNdOYj7DcXxO96C@B^nx=!hH16&McWs;y92&RamzlZm<K3V7s@mnJPSsj_?aqsK zz)-Np0R4WFCZuzomAS{0d=g*}^4@C{XmG^PN^j7my(y0*8f?dM4QhHEc`nzs$aIkK z<^DXPoS~1|e2Sq-b>$+O>ZJE1R9&feC)J@@2D(bD#P|ERGZ|n%>3=buU}P&?+qvt# ztd%GvvMhDp5~zol1WnWDC}mk4u)aJLo_|r5-VRsvd6bg7`+60BAPhX!giuJZWYXo@ zCA~y?Ulg_)+hyHZoY&rDsFk~RYkWevPEp|bVtJppyJ%h<%qClxFLg|j%fvk&B)B~N z6yL7T&SWCPxD-kn)*a=i?X4GO=&~|?dN?vUEx$y)FzPCl5anfFm7<}www%ClWnQpf zqnf8H8e)*7-&3N&?G4N+J$2gF-%*>cgzdp?2mGx;b#ieQOc0?KZ}!31SAFUe13`kE zH6o(q1E4#td-cH5%E;{{96$S^55!9j<s;s7QLPABNM_G-MN4&gEE{cg!AH2q9a;V{ zejZawH7pd6=~l~x!^Q$=epVCI6DO<EY`fe3k#YTT>}vN)NYJXKEST{FMLnpRY1i^k zGI(xY#>d?x3&AhH7>OC%ar!#tAV-2fbZonW^26rBY_c=0>0E&y(IPbr>m!7H8xapM zE=6f#Eel?<`n|1g%QEp2%A1NV(+?}=wpJ+M#xI`HIxDfDt^JpaEF-1MMG{=h<bDNv z+1`k5=O$2aXD9%Cl>CK~u?}FU54kfo?`g7xDwX%y36<cLju~LpLf(6k+xyufYaXmJ zKmZ?&`-c2qYS973zNc?qc4I65-Wq_rTDMjFT%l)ERc@)1`p^ceNwSTNg~uf0HuRfs z7tIe&($=mnLY9-&!>%XRmG_I*{XIv|MZGFL_{QqHn{wV0y1%;35WR&47vPsKFlt^X zN8!?s^po6eA0u%aXr3mgmWilWF4Qq~%PK9!$XZz#vqA>-CJKEpgMrIjLcpOov{hbi z9=m8nE16n7jjp&=-@-?ewNP%?S0(0JokFCK`EqQ!eo1EYiCF>Cp89ZbT2p7J_~Cl$ zM0zW>C8tOq2CfIsH2R1(&yPGc$6HdehHb>Xl;u&+{gO0mpkUNE4`*4WFxj3GV7ByO zBYnt523xD)=95|TWeyqvc^_Nj>d*Of`}+rHxZ!mq|LRUuJ1y+yj6N?*l{Y9<C@muA zEfhHpg-p&Hn%39X`>pL!9vPZpz_i*HRx5^t52?_gBz?n!lXPmCG6w2*VOxxqD=~{J zLg*^%tA|y1yMxy%ewAixfkS)weyxcW_1gHm7;QOGKdu^z%W!)Dbz96NBR1-rj~1Va zmd%w!xprkF_zh#U5vv0RSOyYDjz|pd^=C;}DP<G{44>}MP&!(k+Vr@r4h{@1-|_KA zjm8s8=R3fw#T93QA3fo&KJ1?YO7Z9Rtf_6sOroj<!njl=(ZQg|=q(w#+G#b#C7-}h z=ixYC_ewkq7=sSrGp&0bf^=@bbN*9`O#Ha5%#X00S!U0`d+5i>7d$A$o(Lsr)#^ug zc0iF0O>MLAd#Ss9Ugy;Bnl}a&I!{qsgsb>NVhzI_4h*odQV}KfCG$l?s_^I8DAiUy z%Xu1=Pm)+@WSys(u%p1cE3L;<zHPZ}@0!EA*4a*tzam#aaTYw#!7IR5In~9%w6ewR z(fq8(tL>mA0QPu}fdOVkpBq|*t!q3rPMt<e?PgIv%V8w>>TO+C`9+GAV_oYIhZ?>c zRLW(w1Ko(sj@&6XsP>-c?a7hs(aH(6h)}nO^6U%W*I?D|rp;olx+!i^P(T9z#S?bc z^Gt+ma~%R=%>4S{)L9m*@j|=51MzHTdd0`|*EY_1o9?Dtd78TLMxT@e-y6A4*pp;F z#T|JGJJ03&PIT3R`30CTu;b%}+K1v|O8u8!MzjV`B5e>fMzugY?Jx5LwOOWF7iMrU zCDYkd`8n>bp7KGXz#1ETgYA==@}Q?r{4baJR0x>qPuE<(RSbXUWKut6rKfEj#jIL4 z^gFb?Dd1^H1LP%4?m+P<o*BYX?s#Dr5-f6EDqIeukzcKmS&R(<-_VBf7(f&3pRxyF zVfL-R=jt$!IQj1@l#jLQO!^)pIG1Qjdlw(3cUP0*LcI5U$x7$u`3nxU)9&4;(YHcm zZvlJ@n8MOVq%nwaoX(X;yYN?i#aV+K4D5hrUJ{@}$KH;}uu>Ok1}wPG<E2%1Xcb8r zwenslOaNB3I(qyDYx#}D^ROo7%D^#15bf2fNBni9S~DIsnxrIu6bliLqCqm07X)s+ zDO`yKGazH%wC7$XaUC)jR+Om=?<A@NKEJ~rTw4SsvVU1Dvv>ZsGnnAjxl)vDtT7#9 zjz$)q=@B(#p%}-)Qd?L8QL^(^WPNH5G<2FT(MxMDzf;}UjL2Y=TGl9Hh;cYfAMFfm zw9%0+2+(i+5wyk8B7NiJ9|UOFR!dSiCLQ7ivu5O@K*jS5B8TkZ7jiCM&w?R9b0Mka zu_qPs{h%RX83T>`kp)$S-92y0IZIN<z5!UbOxb=V7$6z+bLNIJcrvKd$Z;P;M>PdN zjwrt|yjAL5U{_*nII}J2qd3;CSaooj<Kjz{AEds#?NBhqnsCenaZQL`;%91DbIv6g zW*?p3b})+M>od~Z1p2cNOXC4UIJ6Zb3oC0sR!`0<WXm<BY2UCMeLneSo*voiIe>l? zOUq+0tzWfkz*AY2gX61PjjqK=mkAI^)J}8M8@EQuPi&2m-;6He#Pv2{_~<vIYcSh| z!L|6QNO91t_7^7$Q_8yK+1Go|6iDZxeGE1?CJ`%jO>p$qv@#x9;aY%y)zpMU-`?xl zUo*>Wd7?T$jo3CcnSuIQgCWFLB++J~=NNN>p>F>Gft0Kr*6h*>OL1bfy6_g6`{@C9 zA}nz1tIPh95ovi6Pu2)s=UwEf?&P$FdyWk*NWeoOpM61)IooE{1b@1d@pgF!+ywD$ zH9UghERw}go!bj8d*A|ow>_V-at~5oGCz$K=wVAbjt`f1G)oEu-C%HHm+)<Rq(!6S zXsjP>y5&Z~^C+$w2J458`mP7{#APb$hx9bQSS6K=v!35_#s;ouwb<9tY`X@2Xnr8P zt+nE9-eF-i^e3O%HWg6Ozom1SMujPqU+lfT{p%X3K{ozm2RzQ={p@r=n{~<1V8VB( zVS3hF_JVd>5LJO`Z>+#xH}p#vtCHWQwKCSNQ&@_?nd{qc1hiM8?LaU(w+<YR<~DMf zMv+<$o89HJ^V=u+lW`-xr`ck3ZlBeI!=DY6!ksX;#z(hGyUC~XiD{Z6sZ}`P47X+# z{2t8h>J@MeG<2T$CXlec5a1;->xKxwj!M3I-HD(IRXmE3W1i1XwzIUZS)l=ibO@X< zlv5;Da7<+B;7%OFoZ?26{WNFt<l4P59ki|j4C8F-U9a^vE5iRMwHTUPq0OE@f^~F5 zlhK-}nXCn(!PR;29svR(fekI`yMvSz=pW6kL8fQGfOD`(c;Q5S=3y*i)ab%tIF;Z) zYpvtoAKK-{inR)vSByRB#fSxU>K2uC(e23=mA{W97|-D}ly2A>3F$my?CvUANXvIS zndB-8<z>Q_;aFSah8FocR0gIzdu`12`gai-8liPRz1cnp-3wJKfS3drqFOx<N5iJ^ z8mPB`p1-pNzI=2sK04)^3<awShy5(Jmu*KV$G=n!H0GJ%N`!-?6<=nxc71W6{_A<e z_6-csGIE(h4u3GQiV1_(qFpOCXwCFwG%-(RUMv_|aL+Qdp|r56q`{+wy-$7C7H|t_ zLow{~ZiWu>l@_eHpuId5oAB}Vfb%^&gI3i>6-ycE)ycT-J$jLYsd?RePlv@0#uHAS zZ-L4SvGyp52d{-aPz}*@m3%ZZ#?&Q8o@Ah?%d9n|e<>Ep1<of%ez!NAEEum$4s<VM z2+v3Ie}?2xBjEt2;`nq$6d&IUC&uI%F_R?@8N(>I@rR<nwlgX{uQIiB;4M9z|03tT zw5_`TsWrDKZV|bgYQA}T$Pv3uuaG5DP+!LVFbEBJ0k)bSbC?VQO!{f=|B*Pi6*)lO zFEPU%CVkFsn|{?nRXoU5ZecUKX$vi7coaT`>RF)&FfCyJ9KGb;<Zin*S+P>vbsx^6 z@WZNAU3oW3$FpxZvC_>zWZxfmNmaDPs(xg0SylW-)AT%doQYL6mJzFF<J?ECaE4k< zC{?=usPg1m&RNRMk2`eQRoy6FlTFrU8L%H#JF>JDP`22V<j`Jgj=VIC1wHxnr`*pO zdw38I&H*2<r=^;$r7M0^eOBG{q#~hKFmEy-iP-EiMBk}EsjWQ-IZ{Hm!bzE*+K4P; zj%gHHnKtcUF$YSYwv==0G{I(m_;B3bZf`c+0@)&(zyf^*oKJqu5Pe=I45Rt$m?$6z z2n-jPmHcq{m-$)2T+c%JH>DZ;0~1;U>=&gh2{6O&j!%Tk`9KJHGU`02g)W8KcFJz~ ze4Ex;S>KQ*9L#qeGAP+folSfQ3|&15b)CuxfKYr}4)QV?ZzZhP&e1j~!;99x_!O{I zkyB<aMcvuYM|hH|HEr>v{Da>QCd|+o`|@mwul0U}BJ)Rv$iB`G-OBO3&mNKmIX*)v zY(UIb%O9%9H`t_^vIRwS+~iub%Z?z$rFK1zc<Em0(=%_5d0yDJVTrCqo%w+6b@d3% zd&QGlm>|uDxOZi0JmY@M3br|!Pp$$nF`8B0V}(l6kR&O()WlUWM_Nf_+h40A=J&@} ztjE_@Uy#e2R@m{4N<XA^N3g{+w#1}=?gS>TaWV8L-xm9useR03E(18%M4(6%(D}0T z^TLCL{8Ta#Qw$QcL{A_4e8!k$v7zjODfjEJ>Dn0O1E;o9l_=brM@m)8{!alk0j@2p zgLMxwH|!y<?l7{NxDwQuCB~QVZAY{nVXM5iy0z#qMnYn+CxQA@6ERoxB__8O48ZjN zvSQ`gpSLk2w&7nABV*>nEApaNux&%vzVKh1pMMN5AtY=CPSmDaa7}slZ&I4vNp5_h zGGfcikJ^Dpg*5@yMi@Mt75@1)HXLjVl|B2DFD(}$Uwl4e=Ho|^<ppKaSoX-R_y1*j zQUDTrxSdwMn^9>l;Ap8;-oF7_)D(B+s!tFcnj}1preBF>A^HUqL$_8ar_FUG&i8Z8 zXK&?MrIs^yEb4VjXVX_He^mP<Gi5f$M{o5eyKPa8gpvQ;&KpRPhC)F)k#W7%k#Y65 zP{paXfI-QsLy|KXh~%Kfy1PPRZSwGfR&h|p09yI!Dp*;_ty`YP@)BrIG~Sx%{rH&h z-?qQq{$?g3@1sz2_%|o2Xfwsxa~#3aa^2HyqhN==JHzdKE%36lZ6;~tK893Uk0GBC z4Sakk%WgntzH8vMDx}Tf)OwWZ*8!|?Ry;>EK8e)2)poCpcFPH$wjql=w^=>R?2-zc z%^r$ek4ts<?6Rf<4}-8L{SxyQ<#N18x}kyhkC+YU>mE|-smwx8r4xFBzNe+@-iPfC zSt~C0xLJ3z7s6L_R&gu00_!|vPfG*BPpw5tg4erft(`QxulgsL*RN4U4(DXc6|)-T zGKA9L?sBXQH%?n7e#!MsP0xR3)uCJ*i3P)x%gT57PNfaNM&!1``JmHNh5x}P{ObZ$ z&mBhQ^S!RdMlz;p-nP36=J*F!Smc5CHwls3-yv5H=LM`#m`(ZURGwae!i(tirn&*+ zpG#f`6|0HNXDTgohjnTP(;EY+IN`g!UX1&7rCF<1$@z_78tj|7jlF#T7;3UCxGz|C ztKF<gE-((Tp2Z8WuHg6&pfp%`C_UYdZbnLW$P-4Bb+xpc8QMs5eM@@=uFOj7^nXZ} zULzv(@_>~?Z_nf!!dV`Bm{#lO`epYej~#B@^O7o(Fpv0*N9ZBp7{sid|90Vqql&By ze(64YIi^`x1*{6CZ;{Q(b{y!0V9O;AO{RYOS%8SI`qI`Oqa%pt)~hwLud87wOMTh= z{NLr@)2ej9hlru`kHZ{~c6DDLe0PH;wsb$j^`Xx4F<+ffm`#ZJMC}2+_es;ij2pz` zGswd3;_u@7ch5&e6-IO3(bRB~Dk$v#mHBQ(ssG8wOz+s~7%tpLMPH;U<@fwIib}OL z4sc<TgWQu~^j(Yn6{)|~P(C~5l?9#1joncUK1N>DeC}UuEVdM(xM3fzTNx-`-3j>I znRRwigg32CNC|c)C}nMGemV3;+DgVP89+c3?)G67451Q}D#Tyw#Ng-Ji2=ApIj0WI zqRvp9>`}6)?clIHWM0L$ZEHcxS3f8dz{$GKpS{9cl6w4YfSxl~HYA+JilE9obDJaa z;lLztf!=bt8k)5i;Qw{)<XT2rgSh=G;;zDy5mkH^wR4e%>o;5Sn=i!G%hpOkAoBXg zw=$RU{&dMpTW#(3vvF*9e*fhxpv+dAy&)cv=;kfHbpA9N8bqMV8l7vl$*&D4)8;MC zF4X=REdHu1PNL~*qJkwoTKN*DqVr(J*MUZo((koxI$XmElJ}^{T{N&=GU#K2*f`yF z+>JEMA7dcO)F{Yu@;Q@B=@hw~(Ys;Gu+?ce1aB>>US8jR>&b4}2YxT+S5%p7*OgDZ z=#%h1nKz2+MvyAt!jF;P!>b!0Dhh;5({2m+s3^_q6`<w|iE`Ve^@dG#eOL|E4`O|O zc(*LPr4{f3>Jd}a8R+^(1)OQkZXgPDXi^_j8ZSpMTzh`DiKEi4N`c$sPy54%tkpa2 z_d#ro$k=(&$#R;RcoY6#K5SjvH7B@q5Zf}ReQJqnJZe~4{dGiDk!KA=c;m?$U6zS5 zYe^~QjMqax3K<zb?FehUyK0KWYhW$re9_jXq8BnbuEIbkRBsHo{rt`%PIEQQqCgh^ z{PX*k6S;umA<M&T))+;W&>>@go(DPHLf3;*Nx7P$cgTO6eVVlxzt|+{qGSpRu#!l$ z{8VubE2QLR%owR;^DH$2u58+QXk($fbR-MQ$~`%YUow;sg)&8?=070{G=SZ?sA-3N zMTaus`9cSw2abG&pHfbA=-Ovq_>TG{x9Aj{wkNT2_57Y^ThzAS0z>FflnnJbuxkWL zi)TamGgN34XDHQ}@n?P@g5+A2rL`h|Zkiojxc(GLX~|HLkqfT|;<!1_afiM(8C$9+ z4xhCX2ht?!yp{SevWz!mit#V=#}?7coeX;}Vv}vs75^cHZk4vRkS^mre*b0=y`M;4 zWDos@(%V*8Viu2g*0gI`f*z?{8eNrGRP5?(4z5=~eMGt&Gdh%mW(q7`z_QvQmOu`@ zj!$es6+v$Nr&Epx*jkAEz(82JMmWrb&n)T=J8tR93tJ>KOI~Y3mc`9(3}0@1nTA=5 zZ)O>#dRdqIRP&~d(nJaqe}HTs2pBp}YzAb{q!TlnJv9TTvoA+)|1H$-D`~yqdwe(h zM<0#a!#iO$3-0{dKrf&mca-P8d~mHD8Zk|B!p~WdRjL>WxWW+6rEj}W;~yLomb@D4 zVAW={Squ{?+>2Ihq@GC*abD=<y@*FXy?a7Ne&;za;0CGR)cV7dn8@>!h|qmnmj8N4 zR@+{8QM-xvzyf37PNh@I>J3<J!h4JCc>~Vcei;Mnkb|5g8?m(H_0HLvT)85^L8oht zD{d#S+)|*^|KG{6oCt96;%K1v{B&|7a)XN@?7bXP<g_T{|Hv)XalQcWXg#58e<Cw< zyS}gV8zCE&FK$I1{j>bA{7{Yhd@!pU69)E|H$Qpn`nkzB-@NF<EZ~_WeehiYU6=}n zZRK<EP!3*9DWF(<eIrG;WPa~?%Mkb^7AMH$Kmjl(rnN#0&vT^A^$M8BZ;MyZHXPE_ zpVWZ5pQ_U|XydmzUTtDyz@;AsATuO{J~LA^_uJ+^<#AT0{W)*_1*o!E2l;(|Z~*Pz zD5S~HpR|tqEdHz;y1cAvcQRgc*bH7{g*vW#Tv58Nwl_LpJz96x4WVD;-5}diB;Mn# z14ViNI6)<@ow2hOGTg@<hxPl{fs!PHl^;jKFL{f_*LcGcfMuhW=}!K7&Ac=b-sdRS z=fA6!N@H@!>N>}E>77^M6do3d3-<(O7BhKYRy=xF9-aacQtNI6j-zs0^%%=Raxnpo zAiI;Dmbl|in%2&jP&E{{8z_xVFL(hQptO4S(4|@JkPV|=EHZE#Yp^1t8%jxmn7~<B zOXoxjVoBR*1O{9k66&K=i$pv%gUeXxm$v@7EYkq+vy*!b!>OJfzvjW&Cp$PI^jIHu z9ce4#;!snURlKpMy6qsM^49Q8qw<Sx11voz*LCqayiACS=Eh|Ak;+em{(=Ueo%_4k zHz71HifN$xyLZGwZ^iXS$DjOWpr|I8{B9K<*xxNxb4fQ^NWI!2_W{X+huO*yD({Ee z@aR=pQX4TW*cV7b0o(>eofAtkkEz_X<;$V0)6Q_P2vJ?^TKGQA3Oi7J8?zR;r2E|~ zvZ><0qXQlcICiXb8qMr%g7pKM6*Dy4=_=+9Rr2hmjGGCJEvnJsKk`ZE=}T2FwbnEp zW^m<r+ru);$eBm{^n<nD4Ec0!Y6S3T5cq{rub(hjywH!o#%`ZOBda;h;bDtj?Hux} zb#Wo9$N^;<j2^Ou@VHF9`!5TmWHJK|Om-3Ec0msdkEVP*1FjKTGgZKFS>Z^Xo8%<# zde%?bvTe$w1oht-Lt0B`O@u0WiKdmA5Ov&QdfZO}$&!)VFGPT;1A~P}(3*x#EpE$~ z47RzM#ip8g4=f*em|peDfOXn$?%3!$xl23cJEy=oE4O-?+P)Z)9~@;K!Mda4ZaJ{l z;#^Y3{>O{=L2Y)QaBYEBu%^EzSc$>xgctg_5CdPYSz~undZm9_?t)~LHCKuert9b) zKlW4dvUQv8Sd@aSdfdWFoAa2{40nhmMrV+SD(l>)?x-=KWX$q_Vt!7T946Rr(l#NV zRIguRyA^C=Qx(=dkPLm0=`C^G_-(EkIMn;8-ssv?Hsv8#)DI9$@M7SYl|&yJ(-o7d z34n}yx^eWTvHin!DybQY{8=CRNRyN%AN#}AFC8tfVL*Pk{mgX|Wt)jHDKCl(obE&5 z^~uH=K7j|{sG8=5Vd4ICZ4JS6@wufvnDogd8?G!FG@&UGNgA;yyU|ruLTxQTyU~)4 zS<d`(Or=;*>kmjGR<KKM?WhS@b#d!hQ@|WqN}WQAosMTz&tGv)d1Ul3te0cmqDN$V zYkjho0U2mw=BG8O1wS~e@)iwF)t)U_`DwbRp*5hZf)(cCUkKnzvf_T!w}bJI%|t#Q zvJcs@Kw*Qvaq#XHjyc5DQBsUt$W7B562>(SNG+qz0WeY(jtQbpqD=W!p0zL4HY>IM z$bvm58%v;eO`pP_wW(MWoi;d*Ky{#jF?68gYr%6IZsK|5WP2Z^Vyi!JN6nus10fv@ zk8e<@;bAMm*<PjAlim4;eYe9ey^nMJz{4hKYMDj=-24-`7H^cI-luh`kqhjy8{bJ- zO#G(%8-T~l+^dme5k9&WrA>jl2mDlRk>7#I2x|ty{~(#ojWqzB57<smrMrfg15+=L z^1WXc+c~38NRy%>%o|i{omP3Qj!UGkmkmVDN)?0`UT0>OsRlxO8zT6V8iy*^o`dc? z&sOlCEedef@MY4Xlgw_%h`5dQ<Wq{>!<*&K0p#h#ns_Y-tgDH~vk@aytD|~oH{S?o z6<o?v?a!0N+fJdRgspjR*iwYM?7jihH(TBkBQvO`kQo!5qH(p$(8^g~-oo@l-kh$= zRY)E`epG*M7^-T;*gk-ivo&a~wkltOaQS@GCzTvH_?_4<cG&_YJ7OUBxb?){M?AIw zfyJ_KZ+h#5%k0`Z-Qp4ET011En)dU*I$B&CDel+>FxAV4rP+zyKc?n}u|!5@SY)SW z!a@Du>g7hDK}gZY-QK$cx$UpzSzMKZq3zz#E(Ih%fbQ|YEwaq9(F+yX@Je~}8<FOK zR8?e*WU8S7<vS@dyE)yAEeNIk;4)xtzV+RAkKvxGe<GuL2pKONQa_Zq{#d0Wh-&uy zM@C{v8U1z}h3K5eXW^-7;05TPromX;+BXqMQa++Z{$PpPfquWM^Idj`Rcax=QD$F` z+T#zyJ<g(ZV1b)!&9}6FEhl${PL+wCb4C6Z3{IPQ>kbVaHKWP5If9ic{pY|Ggx3VF z)DD$RW2CrYCG>kXt)0u9c2xAYT?Z$!UhducsjTbE&2|Y3Y}m3<%1z%AgW>`Rehm0S zQLnyc)BIV~ldM-8mq9^sm+aai%UL+7=ONr09m!+!&X5AzC~4Ffs4(PlllGR?o^Rct ztyC^F7G3g`S=@Bin^0$#ivqB@-F)}g0wl8q%n{>DP_E8gzoGO@h0sU0vDF%}`f7B{ z^s?jO)cWC?KHqt)`ruxplD>P|<awt^XjX9)uUzHGbegq@P>7CI=&iz;D_25m9Tg7p zscHJQs~;C=;E_<{Db46=QQqOa@yNB=U)La}qCfShwS=H8;&S3d8ZaL?x2UR4K**qG zIi%IeeV<mK#py1<an88PQmwYV2r?pye9D&4dkVAH%`+CsNqW9+W6ab*BdwReJdnIv zowiwOKUW|esjUfyK4>+S78x<}jPYy}l4(|G7uKRqnOniuN9u|6ysrbC^K3uayt85O zG27Py6`u|eYBZ8f1C}e2zdY<C4W%+2EeRfa9h2whYE=0iYo1eo6ts)^Mzfl1sTkQ# z*ZThY`@77FTiek2*-(pB&o<fVDA8u0(Dm)q>_Y^lm=b%bl|hYu5p6%|u{&x<g-GkR z_;@<<^y#qq!B3H^vWIdJkNvL-TOECcb{Sy4oVp>j>*0V)H2~|3I-0w^q8CEgU>G-d zBV}@ZkznipBwj|NIkD_ZqN~GkC(0=2@Wa9q9uw8SvQ}2Hfy$Se^oIMX&#C<Z5fX4a zGj{GF5&*wxHL`4!O@ci!+_TtV`%HW>Gra4IdlbLbF$(l+w5|8#Ykl%K5`0V*BbBl0 z{Yf&|yY+}_0RSb_SE)@rDGd%abVfsd*oh=->IL_>ISMUK9g-1!9f!497VGs$8flgz z7X-WYf?3sz2V1XLCtTDc1Yu_ZE;xpZOhz{|_xr--1-^|87n_j53j#>td?A*{0485m zIPd6-3QS_r#Ea$U7Z(1KQNlsSX^dvT-Q{3l8mT_O>;I=KXl9X5J1^QmiKwaSP{Oma z%cFj16J~HW88qT=3(COvh$}v%E-zKsl9Zablljj-b90O8pOz(a7u~xEt^0}&M^FWq z;1BXDYD74#mZ1pX!aTS7T&4M8hw?v8W$ubyfk74_p1n(5d1yg)U|pf>wK*%N?&b!} zNWf2-P;>o8^B~L2KTxM#U+ZKFriN~WZAYEVqQi)Mfw|E3UCF4tv4-1BWOZ0o&z@i% zgM8$RT!0(NmMvtGb=i7?7W8*=&qs(?RVdC;Z$Y}g)Mvm@FXmc?DgmSQpm!R2$Jfy> z_YAii<I!c!V4ci{*w=3kL1P>RZZW^Uf{1M+I?!a}ztKCvKY%a@ea-}G+(9X#uU;d3 z{Az6QMi1^n%h&+D<YY{ghJ4#b6eQaV{eJvgR-kjd+UUfeAnE&84RUCIgL=OEdU6R1 zSOTK5jp$As6o@k!=%{`f9ylMREA$OfFhE##+f8Zm+PD_;KW0M7f@0q7#js3fJ_z^- zoB5IJ$ax|7UOx1{&YW1txGunfxNIYO5bvdbKOQadI(q<(ch$_Vp}6ne(uD{<=kp%P zKp{cYNYp%&3a{PPPP}TH%Jq|3Xx|!?05<*|V$^*M2a~Dy{`F!;CzBGg0mt**W1I)| zDn)lP$faW?|80USNkiFp$MT(JZ$)K0XQp~?MBRso*i}JVYr6*CdddIvBZMgE341bz z*XH4bs0b9<g{_)BbV0P)=_hJiH3dmvM+(P7sbg|}Qg)cC!lRxS3v$(G6fBmA_zd~X ziev@JW=Hb=e-mdmBrW_u1zopd^|n9i>DUoI7Gu*5)JJ6FQHMdM=#cy%RqROCka%h& za{Q`3#Bs6`hp*aESYoe&_+PB2q1dXJ{<q@)*?q}|$gijW=OJeaDfItm?I`ZoVrrTS ze?9l1Lix))|7SX15<x6!p^_1#5{_#kqCt7c85@!(+1G5`pnUKT-%k1cjx|^ip<ocg z==_RiCSnu!a*QtJ|N8P$(|hJBFv6uS*nqQFmvL|OL5O#Do@hSz_s6}TU8!-Xx}0KC zCG|V96GECJShKmm|3h2(K^H`u{@u_n3N~6b|4uiJ>~}fyfGUc+sPWhUXGKa5cD-t3 z;532Od?aLp2FZir2z%15+;;4}U3vD|Lr!)w>3__hs=nmTDoe?!ALFldtZrdZgUI4Q zOtuljD2#>P_?FARKwoXa<c{fz3~%&Q{;%aT%^4-a*7*O~{rpIo4O>d0A@DTR*JCSt znV?C?8$02re3`J<2AluinEnv>y8g~usj*C_o#nKyL%p;UF;x($dY5Rm%<vMF$%~Hr zzhTU#e6x!&TdoP~i=cQ}{oeys3&?{ZCe%oR#9hfBznm;rr25LKC(Ba2?EU7hNi&vd zc#(*+=zkW}XFwC>eMX*odJ*2{c1mgi>%@S&A3~TX9eAgdp??In!W7`sK|6dYDa4cd zocn_|(){9RcFgL^e7vh!h(1|V3zKf7qZGvk(<J(99HM_~yzQxy?SS|C!Te^St!|qQ zf6-ZV%#O&-3)0CVmd7PYjr-qu4`Qb4atm%S&Qk#_Ue-aESkOh5nh~@(F!;+Gl&v>n z>$R92GFym2UAAw;1i<6F_?z2{Y5!>)*NaE&BzMq7hh5ZP;wHO4hjhgc8h(N<4yw@* zR_gsw$9I!TEl>rWms~7C7f;b|{acxq>oYF^>;2TrX_-P_a%V$&My|R%4dH9o3qR#f zOIp(ev-LW?BNn)9*3adWO&*LN48`-MXL<um54MsN891MO!wgz0@Jvdo?|xQfk;ndD zr(C_S*$*N#7z&6CvPQ=16GiAR0c(?x3CAg_vESh8K-)gg9;_)ds%2*EiGMD`Zp`6E z3jePj05`xx|FM7ZU1~IPT7IcVp_hY?MoWcGhHkl7^@{GMZ8JloKKppYjO7KSh-`$Y z{_M+S%naBz)o@tz*O-&Zt^Jw*<DsE23s9t!VNUY)%H!qW^=V<+#nZFX*JBxrR2dln z-TEdM@upQ&bTQM0P~@d0M{tcLCg<aGTC`EEDgs-#)D51})FYA?%hZ}-IcS=N4iwY| zyEVG!i=AeUWd{1WJio8Al7-`2ns}CC!SA*wwv|@v-cx_Ng^V?*9lmQxE@jb`g9q5d zpZ82iRJA;X<%=kA6(rPd<!DiB+{@L;7q?i4`hA#G&XxY^_$@)l5NcC?*o`=vbL*^u z<$%!rOs~25g|LNT3c^Y*@YdgnetSVQ8%!OJ4-k38gbaB*8x@1L<fShS&HZ+5;|+zG zE7z9|6_t(_eV9!wLlSBEcI8a|#hg#Mn4<eASdb4YWTI)7M3SZL984TAD;*{O@|`IK zt!aP78HsrjGnn6emX{eHgk2NALQcuR6yQ;p?LWTC92OpZ!TKHQevN}1^rWkpv76d8 z<GE9-p&s3{ePH=dX5kzSAxPb`MT-%FK!EE^)7ZNIoZ}8ZvH;+pK_HOG%#k2l=Mc+P zJ)ZEeNEs4+WfHOET{7ZojR~|XKs$xgKM-CKg8Ru*qro3Qr_!EO1od*B40|*Hqhs<K z)qM-dt5RUMkdtNAPGar~u>=qDyXsdpZ-TceB(k1MF_(slusDYfMZ^3?fRYAm;_zBv z3S%SL(J6FwQ+@HGr*>aZW9eJL;`rd$ya%^@feoHMOx0FVVW{&rTrJ!pqV>?#;cPEf zsD0_XGWcfbi9!9oHcxDxvZNkh=doNbZE6QTJr};jn0wJ?!mEvlNTUQ{T}})CqIR6y z_(53?TRGC0Z~mvsDS&HTAZsgW>RCbsNYKp_Eg<Z3x|H;5&>@349qCE>tGV)3EpDUc z;<=_miS^8L)xPoSxYS<KEW*-=pU!>V9EFYMS+0qPZ1I6(@VfK1Pa3cwqyu+tS4}3= zU`+@ByJLb7PBdx3{7IpnFYxRVNor;7Z931a$w1>$RU&#N#UbjsYa_C?LB%raT&3(t z=zYG#<R&WLNX<P<Ym^)oHw&kMo^+-<eJegZjqL%rwpH6&&3Q;`?6bRpykGPt)z6xq zMp~lzjqQm;L|}c(1lSAn)Vu}Nvgc7NSlZYmJ)!1RItJ*KrPb;UFc9uWY5bWCpFMIU zVS#}*#be1i`z!QD3=g6ohR)iOWRp4j7oISe0tWJBw?Bb?C-76vfD)%^H&%@HkHT>7 zvs!e231+rC&}+CYNCy%!Pcb#~*E-%ZlL>j{LeeI`&zfHi0lPSPY*7m|5%%g&Tb=Z{ zV2ns<k!Y!>iXuG~ZKR;zs?bOhSg?TDh-wL^J;=QKXn#e&(L14SdjP+^GCWOLXmB?D z!fi{wrC2wCm1v~9{Z8h448pOyJQ#+e(p)1MJ7?u(=d-w{TANy@8*bXXvpI-MARB)! zQNO^>Oafa1=#?PRI+W;^n{>pZg|(M-CF;3MTbri*M34ee6)s~prV%Z9{9A~UmzPh$ z_@jP#tV9NncKC43Hp61W$jCH-`arXc3CITz`Ose<_OYQpQt)b%NhOR$b!?W+>CrX8 zZ`~-XrrP@^dHrI_lH0ESL!YZ%j6w4`)&x76+D$GnVR);PNHjkd(<6-sMo3HcXb{X< zOg7)Q(9jX;C3I_Td>A~l)Uf$axv_!dNkp%AV2RQ_R~`$s^xN$CWwlbcI-|gL%@{6N z^tQ>czb{B6X;Y~-z&W8K&tC=8!Dgj=8@%LVRr{{tf88gkkUneJVQGT-eX%1l)dZdv zjY04SRUS`1xO)>bF)>r~)@f{FMk$q%%nv&fB~NjDhXe2F^6l-JJiAm9rFnyJivbV` z*nU|n+6_c9^}%Y>@L5xxA}D@@ZW%wtAEG|I{QDXkCh5q;j3pzI(3r^aL4Q-a6ZL$1 zRrb^WBjP~*y$itB$W9+lwpuUc3*MeN^0ImMh&M@{;~=BG<)PXZOC+Tu7|~L5WXdC# z`8zf1;BqUgGg+uKq%3?%|7?A*v%GEJv2=dxelUNLc^*fq@|MFWdC|T&5Uk&stJV@I z3*DZP+iftjorpS|=TOFX;^WV&m58dg4@tnGH2YS!$q3Y*b=cq0dsc`m*<=*3F1V+x zS+R0)?92*tv*!8Vo|4q>GadzVJoo!BU#Jyq0m4<75rt49{D^w`2#e<^9Tp?z&a_da z^9cRaz8aHjR0BLCjyz#C(7(HLr)N`89}%sVh^3QYs}OWfbXo&eq?J)xvuJ0o8-QCr zzG|M+3Ou`&A~pie_BU!?yJAbS1T9md4ah8LG+8YDa+bXEOfOswPKlnDfzpbGmgFg- z(kW(Koxi5E$y5spL%Lr?M(r;dB^_XsrOG}!m9mC96*?((y0Ren(U*lvP5V{Bj1%(1 zM16OV#wY8Omlqs6hyoFT4H0?_$r7daLD=vhK$p~MZ%nypD7jp$XWg@)vgc~)R=;wa z{YJpA+khtBpqNZr_%;i}UOczIsd~&N#6?VC+_cX<Qy<bPols3Y1KDz$8{5^gvai8C zpWDt8Qj;r!{j#kxGIWTT9hZLsuGf%+YyP%i3*B5FWtNi0xEI)j{$iD_iSGOQwc)!r zK*Gu}WUBlVg!1VOmzDD2;R4HwcjEUy^zr_}mVJUya&iIA?->gy^E#*h9R4&$)dtdF zg!cN9bPjzO@AsS^U)ZuU620DVIsGkTKXU>ot}X{S0n*G+JvKP;{#ptD?ku##?ci{& zqGfwyXVPM+Wd-V8hfo?V<!6MDSDv{D@aH<0A?~0w<#$c`r}mQbzil!T;fWI@lzr=` zuQFO^I7#tUYiKTA|BXDwZ0`5k><qI)6%0CnqU-5o{Kj|I#7g?1#S<ac-(S`9$@i z(S>uu!=6pRsBcr2-idl2Ty+ypQ}>77YEaMEQEH=ETt!)Zjlm9W!0}De=`UNwGJw6Z zTjQck2+pf7SLd11#x)h3G17|iigw$%&AO=G?iVAoZgMiY3eIs5zV(+#C-W*fMAFr` zC#lcxMaKU243iTynP3tgR|$j>tSbHqwtsb*IhQI<sHIkzgqD{(D|nVqGGDDdNuhs$ zD{8IDz8q?SVutivfr2OQ;G<eZbUaY79cvevGwS-yzDd<~rbqlfFxST<Jw%p8Gj_nQ zjLAJQsEF-nTI}^_Nv=-m?xaV<VT!%cSJO;9&rSI;COFZyKXF+0#Btv%ymorm!ER}b z+Da7Uf!m~uEGbS<*Zl?OZ&3a^RmkBxhr6|wTnMEUAq(Yi%EP~6M$%{I3&~%BR6+E& zqkr{2J_w<_PWkA)U>3t755i3UD;bYXY)aYRs;#y6_O0>Tfn$&Nn{uJ^tHutmW$-)l zHP{Hl+;Ll&UD7MKqc;3c>)(qfr)rIczF}Ac4>JFt?<Y|gZg%Tx2{GTvXQxvTM4y~y zGR6@aQZd2JdUp{<Nu<S`(D~_C7x48D7F+yZ#wLfiGdx3A2wBzI7_ElH>L@78Z(@9u z9fL-*NBk<Qi;{3-nIoe5b8fJI6*+9GV8vLoe2>rvKF*}|)qcEUR@Zj*>E#aUJ^Eo0 z9YkNdRK#jVmT{QEW}23cy7l?B^}6mynjl9N<BOmj#OhcvAy%Rx-B6wPEO0<)R(t1@ zJJT(HrNPnb0k_HzV{;<R^QZTpA<M7g&X8E>F@rJO2>=Y{Z;?nTev!Ml2>(b$|FJUV z#uS_Imh*6f*zr~f*~&51jAXAbuFjadYR+Fr!ZLi1HF>3k%diRG$8zv|fBkKw%j`F? znwRl}0Nps#`(3k2XcQiGqHh4O6pD$Ev23ywQdnRw^;3IqAk-|+(d6?|lK!1B5dsn8 z3?VhA0C!n`0QHO^9S5~|m3$7e>!|_7>?x_cQ*6wSzb%Td#9Y<7l6e0F_PlB(a>1NK ztwKxFrYBC-7dP98s1=A+)_XOC{jBwkxiHe?sN2Bu$ORH-<AJel;>$G%tpA;CU=bT2 zg<x7L$Nw4U)6LO(lZSYz5ZMr4n&YAW%8}&`(~}+R_2os=h922)$Q*maV6;4)L(4ux za|@x0gprl=%=RHpmA^Yz?C2|cC*ybigwG8}UqKbzZv)}fQtB`3$+%UdPgp*Ga;BTm zaXe+4RitJ2?tJDQ#XGBhfY;vHM*f54$4at2LOU}Z{p9vneHN4NCl8nNgAuzo5%Wc1 zRDq*yg+Hvtn%ow;+^?$*@A>9CzFX<1D#N+`dzrdnpjfMgPE6ya%%57;eps4b9Vgxu zSwp>|laBqiI2~0#i_f!{+d|O`L$dgqNL)^eVjM`kb0M0)Cus2S0OH{k6Kq1ko`!L5 zCRs-~YCBDA^}qR5-i^ke$sw2#Wqvr_2vRknjEC<x1gw27MY{a9er>$L{nq)jrcCMm zEGa?5`{sag#{r@2YALXwTUf3bpM`MAoq)lm({az(lVMydcN+(d!uaRh-8UW@%l17I z*;KRSiCb4;zH1$Zs6fo$ylJ!9uP2;2Il@ZY_=N_0@jPa?9|xMH@0H>~GOxFDz;Fc@ zEyUC6$9FuGg1juIk+{DYPun6~pd(^!T#|T@S(2@TGL?K|iQQHBSJAYtDg}9oFKsjt z&`I4*fkQ1lO4`qT&UD*3tgZ$ZKa70Nf-frXGFH8S%X`NG2=Joro-UA7pb&epHFlvy z?0k3J<Z<ypFy1@^%RkSwo780yjOUwa{xe+q;aT;1Nced)jx=!n0c1qxeO!uvya4uS zn4FL6nCQF;inS}`1@3;pPk)<@Z*)38pWDR+Y~G50(EU_w1@iBaWtNv0cUYabjfl6> zrr`;QR3L5I0QwyAI42%vSHqpgrrmt3E^^Y9BsTp&@X+(82sjqlAIrK{D3H*#{M>6R zJzV3gZ0lNd|F?RfM0(l&qxXap@PBS5yFWl|^@J;WsjY9|?{+>LA<<-xv2?6!f%^F# zI+{;zg#Eb--&YcKjl_6<gPK!Myy6#t`hC0)kdoS00L)>pk_Ml^AhILNlLSgCN9mX* zSx#{+G`pXR9RbcCr;A5vf5ZC5gqP|I=%!ANggDiQE#+44&xkqreYX@iN0wfwMnCRe zTi9u@TYGu+(5$ZJeVv-(Fwz@7EkSWG6WC99+Ja7HrZ{%(jXnkB9`J$*7tAG>G|bYH zZ0$Mt0e;=;mD1b}PpU}dt%jhZ)=Y-6(QL_?z0p6y$E}uY?)eD{RJ2mDNe4Fu1=y%* z_Uj#hh<wd!9#s8pYH+ACE{%+l(V$+RQ)j8-kT9qG?x_&Nc6)emV>VNJg5Qk(spcrc zb^K+f92aU!e*C%ADW-diO$Gi*S*_o~7~TdJa4{o}gCrudbtAE%v0E{O!V6l&<9*fB zzUw#WxNJPo>CkR%X7n>YprIpT)I*xmYefI4oGwkzGb_!{?zw#JQRVLSw$83M+fXl! zc%vY%m)>*v?#je*tWikRzpt&^Y$;EHad)|F13npFmpirA+r3;_r#hTJXihC@6PmqF z07xbn_i8ZSezeqpK4uKWd3jD`Uoaj?HL1(S#T20a{^?T3#g|OF?8Egg>&|hv_J~Cc zHRJtZ4Sz;8$R0$={qJX7_~%@+`+-<8G9u=&BqVl!<26lwJ|54SS&~>j<KxUl5l>fp zD>1%=Hnl;A`&!MLHG(>j?k5>D(pGzc4PZBE*4#=-X+aUm1iKBHlt9L8TG@t{YcYk? zXHK})NUzGnY-wH5x^N)&O2dbQZ-~ccMbSEJxu<%lIy19c#oZ<3zK=#qDK<J?9IeKU zb5LAhUy!dx4XyzemkZWGXCE0=)XJ?C#ugwekDak6obwk(6J4v-vi1yt<Emj@1JIej zv|Zia@jqBy*D=rIyoNg@ocs2tge4hHtbQLFw+V$2C)~J1RoZzb*ExEtGqajf-<?+< zDnG8YmQ;@ws*HKX;)Vu<M+P{rqYbnl5(_wpd-MFR?Y;k4tyB#+?fiS1|7|j9`#mT$ z;X@kz7IGFm++E~p@09fIHNN<VP5@etNRmqd`PY8Qp)7|R-BwY7&%EXIA_9qhu9f%V z^m6+}k^*WuqkLAZ@bC6p={bJfcRtrQg4m|+Zwzp|Qr>9%a#jA(G02Rqd0qB-cvw^{ zcE{XGo@(-(7e8v#y7}K4;iL;Y!+YybQ^M-~(6Kv(!B5R&{iT0PE7$4vpW?z!dO z3JMzafrm<)%Do;Cty(3+%+x7Xb1B^bVQ!hIFLoK)i#JPm{rf20um=9b*jgpXTSm`( z-ymVl9mA|56RjrUYVd->QtQeo69B4?kN!|88!1DUq?4!gFi0)0`tQ?Rk;ET#7F_l} zTASKM*<Z1o!AkG!Vr(YA;&A}1jC3~Hu+aA-0wZn`>P1XcJxQ3T6X&xCr3k587*7-4 z>O}N~Wnb6}DWWt{8QyGacNRo&Nd|Fsb2<`Kk&6nFd;+m^_V3dOiXf@IBDLo3N|b(5 z$h_fWv-;ppHljvi--*@xhqL}`k&Wt!1(CcflXBguz>hP<{7HX{zYAkP(2gkATZI~K z%6Ec#Ph9<<B0SBSBDK0l@I#XQ#$u*&iStlJvug#}_=RX+f*X9d?c$L77MH#UyU2d? zHrYpDW)yweV^S!w`y4l-QgGA@{o#YumPWA+UJQP+cKjC70`SIi+t!v@+E6rsF20iQ z3Mso_uok`8?rV`}*=N;HfP`2IKb`@O5wtQ)rh*^M*WLP!T#IjAIJ{FOZ#rb8Z{nPo z#Qt5hGoLVH@1LFz&7)tEFsj=9gK8QE4ma}NM*fW03Bv`gumx<Gt-%o8#~NBbeTj*# zFY_0~!uxm0{(8|Dr=)_sMKgM!haBmMjsXAtsHp<EwIhixXy?_9A<%XMiRnj|#MAye zo>nsjwei+Nku;a+U9SmFFf!C24UP~zbbfqpDj7VZ(qVPc7VkQUgdubjCV!5aS_+8? zwbVy^m#~Cmj`$4;rNDl94;RMvmI%8uh~ccI;kjq8Vk%OweT%5+pGW8S5Z>LN_*JQq zx>Uodj`M5!k&)Y4^Z*Kh9`6^U$Oty}=cRU&lWk|_flhU2da4FE#VFc=pnYS*c0<YK zip~aiQm^WOjwAYqk1N)73n7iZXPrvTTs*U8WE<)II6W71eOP5ze4<e~lj(vp#Cjn8 z=H)}SdDh%Fv_|hGkGXk6em!M>4&milq+e&vCio}#v5B}g`#q3~klQ1If*BdDDSNhG z^MR(9<SVxRC4#kj|86;YaIpN({8s;8$jJOSZ2sPVMlByDAmd)->4j><HyW=iup2Dv z8E!jxD|J-sx3d-INs|n_G9@f<eDPfGNs7<D_xKNaprjUzFTP21cYediCHx8@jI>xk zvTzTRF0<KL^!qZf$rg3ZwTzU2c*5d6!D5qJ-p`qW`V0A(PpvM$7;G&5t37Ykj3Nh7 z?WEA+?Acvtddc}%_2Mj>2YYvZi@N5Zil;YI?$b6>c}|Z}HzqByIho$Zm>^FlMzrzD zz>)@f57Q}jzMsTJ!?cMR48bd)1L3V3uEn5;6CO(P<*qc~{kkGp*&mzM;U8vEhVc@8 zo9uNmv&PSz5c{BCU_k`q`10awF-J0mR<b-pUS>|lf(T)gp;KJ;Hot$t2%ZHfUDiyI zB7cLnnZFYFHEXXj2!Ci@`9?Pg`xh-<S!jd&HV#QKWU%_g_-5{v+PHJ6s>mUzJ~3Xl z_M@2w2TI;J(AupYo|`naaM4fMe14WgI2IQ?$%o`sHfmz9*<*<G{e&Sj`cQ?2Z7=sd zFGe-1|7(`la?05*RMEHbDX2L4zDOtu2#r_SS~#e&5#VM`95u_m0I|ii(>?Lx!0$f) z-UZDCGv@h^nne|lbIF9Y{doJJ->oaj*^~XIP2{_r3}B9&_eeE!I``((7+(<ilXuAv z2hj=yt_eS(yd!L}?*mG0(LS5y5`=^Dc4V^+!ShFopA#NCBE+4+LEh#To4LsEl7b{z z&!r~ilY&$Hc|Wa%#SToIhmHjIW^MM6A1Bg?d)yKzo5=Hi&X{!k^)YCE%en!_Fl3D0 zm?Y#xDg_YxTYyS#$XjIwwUBVB^^UNvYHTqEUR~A>5A(<gmh0+r^eemAcu{}*)FVpv zK4MMr+cxH)TRXy}Kij`Q7=pqW@P;CW$hf31G`#p_M5JJLzy=|PKE|}{`%S0%m@()p zz1FJ{d^1t%T}X(2=k^q#mvH06rTL)sN2uI|yEd?dP-e1#<{;Ymb84iK`X4hPj<@8W zKPH*)Dc?e-3G6dMV8IlF2!k;~N3SV$5~E=XUpcG}66b%y@7wP%FRrH8%c}1}%svmq z!G-4qFwoa`klU>}DQ_^$qK)3Q&=@FqS<e;NyvnLy4%*x_W`^}KdS2?R35p!}#q!E) zS>OOTA91H|@Y(1BxF!zRSe$|<NL<@?*-J)>H*HP~wVcpKbLqb$?llB$6<1&44#rUO zWV@RpD85Nb6lcPY)Kj!*uH>+4b6b9NTnZ?9{vD&U?%`^+!S4E-9k$KJq((?4yQuJ* z=xuM1-XAs7i@mDfA7ky<P(ST%Wt$D;uY3*!QeS^MZjGPEq7OaQ<~$OKJH_QHU*lv$ zOLY-^E35bY!t%=%(yIg4Eu`vXF(+Y71qsscw&~$Nvo2B_M}u3e-L7-fJDqn}jb4Nq z0zH>wV2^8!tO33^Da{#ju=V>#C#J_JWW{mM$Frb|@qhgV0(UJODVK%!tu4m`-I3!P z!0qkIxBmlIK&Zbu^il5@-M_zo;Eb<AENo&NvzhlZ_Ha8vIvMlTtohoZ0q?8nF1lv# z?&rNv&i>q|{*RkK@}U<Ni-o*Xtf^a3KG*AtO^}^Na>OQVmzKd9PPM3}Z34zTD=-AM zi3^*2_|m6;_3TS--2S^azU@;NT$$VX;@j@MHT8eO4bNQp!o9Pb&i&)mrJw5S>cf$W zg>2VB?!^ww`%f<Tcjh0saQ=__9!ozP+2pX;B!Eo}G?H<?=48Kr|GD=+zo{!TIKBIM z=guo`eCdYS+u!`cr(u)4cW0E3Q{V07akQl{GD06`fjhAaXtevg-=!nJ-Q9fy9l;(q ztc`!C(!LU}&1TsDL2-Cj4@2pw-7hDB*sV+t`6wl8oOgEb?EV4EDvh4t*s&O=xEHgT z7Q@I`_d^&tQr0F|PhYkP*8f7kGo^juTHpQltl_fGo3+WYvQ0{-ZPJwWF21;XjBPST z-KEj)64b`+1lx8?kxil{@zpjt&TXl-zDIw!$faY)IV0RoARKaKA4_e#rgHmQWZHRG zt8<0E3w=|mgw5B)eOJXMw(pwcUDX1ms=ljPo1`|}CJOt(8tDn!Yk0q7Lwciljq(1^ z)Y7Cs3-`a(QX@=tUdH9?Xfy%09}@?5@$)&+mB9N$-UQr!c0)cIm;H(q?pySE-{OCq z?`8L}Ttw>yeGczZ_9(m0(c^uK97g=N;`goz&>zee5wDp0e0DNh^XwbtbG`1(cK_m@ zMsjYm`yCt78^vpkKSRdjIQ?dRsU#2Eacr%h^MbvW<-FiYLgHbyP(R1NjSk8;DuAE! z5DsYJ<Lur-8Rnzg^xSRi@_xi&Z*YIlEzcdiVF&gvMsjY`<_5eTgU;vPI*dJ?5g+e+ z6gk>l=lO%YpYcQIcV^1_B3b6C4W0M2D*vh9pTr>D)1699PQslzJo6g{dw$S=(e}Y# z!8kEOBQyAB0e@8jJ9*H-&B$0knC0(EVBb|Y_i5>oC_i>TV^3!kPGP?m_RfFffiVa! zzWC~^dr~u_>5+6w#-Q?86LFu)F27D{4gCJ^AB9b3x<C8b$;s0G?rzzR2+^Z6rR{Ip zkD2a|Qlm3R*(Pi=*rXKNWFLQ50$kmr-AAztE6Po16U-MZ9P2sW$#EVp1p!Mrp6aIb z*vZ$SO?G@FD)V~X8GJ2*ZE}C@wXn(U)iybK@>uB@=E0Z?(_VB>-DnmvU!wi{_`4FA zqv2_$gdL<&K4y5HM#szHW7unoc@}K)%rjz>W8L6B`SR<qom>>@V7;!j$;Y|x`ab#G zL)k||+jphYsnMf9nvtHXY!gXxf0n;1!QYvfDUFV%%Qlb>j*eIQuH%0_unFuq{me7c zcO9D<Jvov-Wo(kwC~i}|Qt!_;Yl~)OX2)&X*(;C<2Vbp&!{BPkP~c9;5FhPpJdmB1 zoIdMnFcBTd-XM%lm|o=eg!pKGW01uMrtk(ok|V-J!fW*QsA@K2XFt^}XR|WFCrZcp ziY)rBd>Do+kXN(fT6uqtesqz89XhYr<uH5v2}^hXEA}hm&6=4A@f7V}L_DO!=Q@I& z+@Irn2%5C`DsDt+<XV0z$E(9<St7;+!!pPU$*n}GAS<|7(pyt8wEVi12qyw65o$Ux z2c0Isi$YcS2pzdmDIzz*6C+F%Qjuqsu8r3?c0`F>YB|(4ZJU4A=9ijHN`o<~c+JMC z&sU@4`fPD*qUI#ZqQSN)&MJNryT|NT!?#+5mAl$l4fq@BA=UQptu)BcD$wP26~>WY zmoay%fOM9X;97l&grWo@SA?pyie62;>@Tl|n`lj$Y80svzBWq>)u^)iG$?inKsCz> zVXJGos})#l$)<l61&qbkr`3&6jkI}6^TTsfpuxr0YCtjbWHN@rbcySAylxv|QF7;- ziCyq2Tyfx4Ufl2<g_}TJSfm>!7@@9wD+-Gr^4E=Jks&Ghm710L2^9xd2qyBxU5n)V zwm*sE6N*X@IG}1UAwtJpM{C`~Yq7#^-*I3{%>gVA(x`t4nomSw7ysjYx8Oyd@+u;i zMd%Q%*&|^H<W+pw4Cyjec}#gNK)D2!%;?bTR!~xkc(BI5?R_<<dI(()Sr3P|xIi1+ zZ9AMUYUqC&d73~~(H<`+a9mzggfMy3<H+i3=r^xf*FYh<#x0<No<<zvfHS0U4;z=! zvSM|Br5JxfPszP)H(Qi?;$@?S5KKLC6>g|1+#uNbR}Bbt&>GE1*Whb1jDAFLWfeoO z44Rjv1|F2Iu$f2U4L<mWsn?qYaT4#14I4A+)DW9@2_ve`ffF&Y6|X2Zv#a@iU%8MJ z(2&7lH(+?(fb$D=ioz;b9#+(WfqL<AbLezhEVO@M?c_nM#1*T#o}UK-ys;GD4J#-! zWI)iki16m0Tb;`yslf4>+jF%C!~}C}47lZF*r&^(q^H7_#IGW>ZDLtw6Qh<>t7#dQ z$%}Zw2)`?poN-17P$WE9(PdYzq630%HBD*9y^EaxP=wOwm8cuXk^;C9uoTzzO#vaS zI_7_cUa%L?yaf#4VbT5~^Tlk+_*KJd<4=@Ra6+FhV=BI==w*`ipe)Nm|6kW3uQrD@ zA|k8tRtMDaDBrLY$>#?=EPb4mSTfp!j-4vtWYBzA3gWTXHY(36GR<Rr1?-0m5awtJ zN`<FMUzFV@*|~y*kVtnKwN+w7F_&?7-LZcRu|hHxuP7mrmoiPbP>;<Z@iC(c1ci*k zMNQ|LPiE0ApyIoQLGFc6y_HLI@E8wxxE8KJ95aj0cg*5wCs**03NEajG+3u9e(uaX zgVxAUetRsTR^RH`OxH=I9<C1CN~p82(z8jZ=qwSTI>RosZCK-u>n=iZ8eab8H$Z<U zRq(Ksz_2y}*iu(46z0agFV1Oy8r9v%6hdL#pABoi$9%T^sE=uR)R}}8N!{hTg9w{o zF;K91drg8YFvQER1XJ%8=c=Y-U34?h`Pa*GnK)IGM>>v({6;*YKxMlf51NwPv2~1D z1j9UAfort`C400GV3$@fg>2$eDaL<UG`^WAC=3*cW{hDK6xp&a{fWQO>t^w*zKh8( z2u`s>lb@zVO7$W?rgI5`Ur(_8iB*)wpzsZgkCpN)3N7N@G^zY;vQy!F;9RcgBSP%; zHB7>LwD3RVtE*hf$Rn{U4}#1Kog3;Q4QVcZd;z<c!{-gKF%pZxwQ?tI4hnzH`RMet zt$DDti0AZQ!(f`sThE_2$w-Z@5lP@i0EKIsfIi22)98y_r{7c9N-Zi|8YbsKtWolW zPx<Bw%9-gsXc^-+D$-yBx}*oeV%f^dzIBW1J3hBm!$geuFr@1&urROw_{OBy4CtnF za)vE=Q~WHIuZAF+l?|m}>D7O%D6jBDaT+IK(a2VQ>eKXPQ6fwSWzTxkpT?|g(pU1p zXywjnPqQyF6B=0v8k;Fx#Q{yO9&mzx1^M$FK1Dz`m(T4VY>v-zO{~Do4;FLuPN=aT zC-~y&LCq1SP&5Gl+{F2GkAfvICgb0EY{2n-Ug~v)ouGv~;dzDLZZLmDCb3rFXBvki zl7l=<z$i{(XqXh{%~;R}^y>+>{)?8EhWA}v^(;+gbN>zp&JnsA)^F#7IqFrD<j)mN z0fYD)Hpz3+Y{nE8ZE=7N#sC-J^9sY-7|6iI;4wM`j5a>r5@1#k#fvDXxSO$S+nXqb zS(yh0fckK*-0&9ms&Ri-^gOzL8b&{s#T0l@4KNR?Ja(0gkl_K{LPf7xAh=Z|!OovC z=BqefVYgl{C-B+_!?m+1*!0<^*uZ40OgQxcCt@<e9UhszdD5lbJ;4QDaM6|kU+hp9 z0Uvbz2ONz7z?psn+zsLUxxMC4e&7JUyuv16)f$&BBH=3ANTYw*VG5QRNkjhNCcVTm zOv<?=pdxLfZ|3@TY{=sYC%Zl{O-ApHulHC!4n*dc<#2Nu(9Ku8`v*UG;Tc_b2RD=J zcI@yA{qA{meutSXytSYFpC%8JEB}Hkjtm?3T<Y9|uwyNN=^Bwfkli%ReVK<3+A;nR z3}uiwx%_=d9G`#5p<-bw`$Yeh*dTeT^j(r|lwxJ*|H+kkEQbN)t#ax{@!Txi#Qo7f z-TKOVZ@>Navmd(jU+=hN-&r?a`tRO;>3RR`(huMF$`>yE)5U-O2gO744=+6S__v;Z z`ggwl+2eosFAsE`d8K>hUbGXu0&wZp1NUyaa`%;)&?bMW*+V$b@s0zT?{&|Avgd*` z_x>4gqcnHkdHc2}e(TD!ulvr9UAyouqTH<N=IynBY~CiF-)uI&sGLv+nMVAPO&<HF zmtOhh{g02`{?yYi^<LV2{g1x+mA77U9$oO8pZfc6|JqMo|CL|FGvGile8De2{d?cM z=KntQ(Efk>?oHt=*;Lsk_jNshc5*E~7A5Uu{LmrTs+hSbo$b))Tz>5fTsU**9UnRS z>4&Z#>-aX?<WzV*X{5JWd~j1OZk-qpD|pWu&0f3j#Ut3WSQ<T=PGb#y4_&^vkiKN} zy_a7;GSbsCGjm~y)5&5)e<s}D`0@#x@`=#3=h%OwUQS_NhE?9!xhV8-4Lw$XV?FV9 zN|#=?cpLe3aNqLxtu93Aha<;IXN?|7buU3zSmApV+ZX{y@0PbM-H}apm-wuj8~zD4 z39}H{Bsx<lvdOVy!Vqabv<d7CTW+6u{n;c{Y`IOo2b*BwJ673?O=gbprbg)ZavB2$ zHW_~#d+I41*jU0_M6t=^VHT3MkP}Ur!f_L>Z7<mOz&0tRKX4+lmav`rx8A>Qc^SH( zGuUL`KAaLu*e!kJ@yCxGLC<sf;zQ|6XeMbB{;B<$Fbh#TdHH3Fc*?G24mDoecky0` z?MF@>o5-HPCe)qza1%_zGTG!_%A3M-6vuz(e2wQujyu9nA9zf}vtR&sKwOpwS|6U` z@qoF+eEguvPxWCq;5~RWUd%n1o%FvL;qCrK|4V8spX2!F9`-j1AM?JJ;b!bLY%YI2 z=QYQN{fAZi7aPU91^W-7boUl*WS{EIBYD`w&%KA1a#NdV=smQMoAPLg_d5>Den@{4 zu3$6PCU_HIWy`)uf*kByblsamJnw5fzthE@)I4mG%iS_!`5NWt)WbUuv?|YIW8e8P z%j)@R&jqD@@1?nq%X2;b{Lg>zgLJg#I5(O2naS7!G_!N(*uG`bx4jmR>EXC8yj5ZB z3JZI;Wh9+aq@C;dUVXBl$BupX6~=#HgVqt)q_kgl6qibuefP~jW}BRNK53KG%VT4m zW209mr6fX4*+k|KiD;*M;E!5Wg0ZpNf55WXCZk)i40*=?LC<lAHgCS{GCIo77<izN zuY1^fk1>0u=lIBU<MdO}9C+DwQY!u3-!+zTDpGd*cB^uI?AS9uWLdVI;FEtF_$T^o z$@#4FhjjGVu@fhLg1UDF-wk`BQtIW-PJEYRnRfEOs!dvDk<)TorUAd>KekNPsV_*c zvIwi?Z&G~vZ8eN*P05HPdlzrxo7b3Bp+v8Gy)w6840A-Z3U@1fx)~v=8K!md>|E9F z@yjR0ifWIt!pBJwE;kiVNFIM@%7$A$kCqzcGIE=V(=r~(ZewY#_W$3;)KyY!S}Ua3 zyv&8N!uUC7fg>8e36yCOVs$RwC<sLEYGSvnfQ=Ge@c(-w(rXKEkevn1w{hI?_Qk4m z0mkB=^cUz5qhD1}txCNE?+I54Ce9xZu$sb}2Xwuvj303sACe@lQsI9~F+^s-vTkw9 zH~Tig28HB{j57UI^q5%M%{QNTteAj`w`W(yANouUW_g5|LB3rsd<Y7rT$iJ8Bn7V_ zLp^&QRt_T=LU~Vwgc*(RI<u7+xXhj$;Ruh$Rfc-TFB4yr9<zL|Hcu^2Ukw!%I0WAH zRt8?9ZEKO$s}QcU7~X$R$}74<ofmsZDWL~}iVO!@QE<^skvD|(kWmsIzV>aUMGWn5 zD<x=$^n}cgrq>cBqDeqkqZpx*NW2kdgpL{uFR>HQ70z)WG}Ow)4uICeVEC>W13d5P z5>U=8Y^-EFQQ85H`$OICwqk7R>%2#`C=#P1PtxuGRx-T)5E6gZR*L8nUaK(Rjm7gF zI5vf&aH|Hz2v#>krHL#`xZbVZ7KzfdZN-LEgp5jS6<)3OGS@0R9V4q?F0;lnXOW>= z6heyspwcjV+>coWvkyqYTa3gNVM0L*V1qJ)F9x*fNCbZvKS?T%AgqKWIBfYM9FyA$ zwFR#vw;auKNkM-q5h82GFyigoT#acytig&aGzTk7l%{CbpJhKX$@vWFoFRvPnt2>v z>CIrZJdWQ9H9}G-jN=M^i-BSA6b}TCIf%mjSdg~8T*LxbY1}p#RQuA~^3GMu*7ajR z=S!f3R^Y+AYz^0$mCi_Et-TLCz|pqv!bj2ASZpcqV}*Y`y8vH-S)_%nODP1oUGR1y zgI_Wk1`swJ1^;aZE|*lAnsjsxW}OIUXe|QjXRe+kJ|&|81lkfpVQ|oQ;hPiEX2@Y8 zld95!F*OrmbW3rVU${9&o4~9nSE>-V{3c)pX&nzYA)^TvES(FX><hE!(;(_HLpWEK zuR;teMt~@kRqTTo{g`iDA|>Tg(z^j`n2H-d8xajCyi>(RJBkv(_M&i%Vcyf1eP;$H zmyc%#2Y=AK2C^EwPUg>|81mN5$tdgcn>(gQ)U~)BUs_A7dU0%Cy{%R5=gQ%6#B#hS z{JHEE+J>)`*Y|;oAmDIT_$bK!3=sIWK6_;+N*JAUBJsJz&+_>vAj^3uj)SiuZ4f-F zIHA;3l-xPuasANkjNFL6de{G@)yJtH19>%|e18QlL+GZC%6TO=?4vA4EuCFvguA#0 zc%Skd#P2F0zX2V1_nt==Cxvd~xt)(LhI|oz7?F)(WFydx2o3P7<2U?cK@5!OA%>tO z3Fr{TKQ=_*!4nS){_K=s*{}Hq&~mTbYT|?()qLd`3KUfiE#s-r<W|Mt@NgB5gZw2B zC4Uz(q*Wb`_MpNR$)#jaa&P7B?54j<)O4y<nREy$OF}XX27tYbw<^SIRMZI99o9WN zW!~^-V#X~8W;x=jX*!J2w%&5r+gF{Z6(ew_`Lf{F{8SiGLMAW(xD{JqpBoD^Egcc% zXGb@T>FF>YIyZPX79x{GZY*^b^RK~s=6`)_h_ta$OeHy0@s@pT!iqu5=neC@x+<ya za20vw;FjlC=4s5Kn8Y`}1Qc2YYOS3ST9myna`(?o0!tipf~>e%lqI;#15^hb0mDH@ z5s^V;4Htklc)f58`vqER6%z_=<Ty;w5miez@cCpuWsgD2z2;^@jEQBNRTU2l%YP<( ziy`DOf`~$4K&TNV!r5>P%XyK7zZ#yvTXOUyvW9P=$<FtjltC!Ck>-vF-#?8t`tfL; zJmy{HmB*8i$}wRiZOb{XY1(KMo>v&nx>kCJLYBQbFt!<Vjr;@#*^D4ovIw;rcT?^8 zU`<9>_4!=Uqv9NnTNWHrGiMOgM1KV!y}%e8YpqE*9Zg)}LDi(-pg3^k`<`IHhrA)q zHK;Xg9bUqX?-%21cnetY<$`b8EuigSk%W+$r5(VvxdO?M;JHpRAWJQP?60*z2#W}O z<(Up#S(`xv-Ew_VxCACOR?qco_8VM7#C$#t2S&j|G&RJQ0Qh`YihahA_J3&>f(+Yp zijgR|XYid0sHRu=@pa*Ri?qSwYrxdOs03~z5n6X(yRD^3IXW4PLX74s2|5{sb__mJ z&$e(v3fw`@H8P-ZzlDX246;6pHBQs4bz#>_Y%#;S*0>BV00aP2G&DsTrvoY2MSqM8 z`bIp*gW|%oQNU>-Ny%Q6rhjMH7nq=6v&>C+9gte(xpVQPIBTB4t$ex4QCO#?7>8PK zpiJW^LVm&1Y2Yyq=NA_*hgXFHDFnebN9;2mj}!(TS`6M|;BmRH;%7sXd3QIlInX|^ zgbd=D51;omEUle@WU8Quu#RxZJn}4%+&~jCtlHiD=~YLm7a>~0%YQAv^9*UurCq3q zJR2Z`G%V!gCLQ>A^)LUq#`qjVW&+$)z$jbc0z;pMS6~ve0e&{b*p4kinv^W9^oo#) zc;RA5Sg4KQiEI<5U_*qU#e{elJpor1{3}`)q@Jh~UDv@iMnRXX6234R55iU42zad` z>NnSN*DUgIfZXECj(<1?%VcT3U>L#2aR3Sm-+@-_gM^_u_P`7Uno#V8$MAQhx(bg$ zpl_7nlH(<p@^?cMoWe$fN+hUF7z|x6L0xrV+k?$V(O~5(0*u+@RWORJ;oy4ArA4kW zL$;%W9vO@-Dz+8>3k<-RXf3mvXcjh@Oe-`oCH(*|HJyIK=YPXC@VsJGo<2w8<HhC; zOX#sCh8O$Oh8T?NAH=-N@(8BAH%_QJF%Bz(;zUr*5aFA1y@lrZYPpm}88~v``D7_g zIlT!P0^$WeG61lE17!FCPwOsn`w25!n$y7Js6TE`@Vtc|x3Jv-1|q$LT_v7jYs;vi zU5#$hG4z~4M}G%D09GP*DrMZjoito4$Qg&+4Fkgm-kEZ8+=B5hn>59xIS=kSVCOg2 z5xF|5VT7Sf2Dvm*wv{GYngl@&)9yf}^2mRbXHl;^W!OY$BwQ};^oZxS3Kqgh@1-2W zhT(F%v;?9|mR*`4eBoP@x8P(w&1a=-AQV+qVurJ4_<tWRiehkEGjy-{nXx)a0|Z|T zu9ud)85y$ivgKi;0(!^D0eR#THs=oVnAHjLxzxyz##tur8xXTB<T4mXvw1u=aZf-8 zUFv|@FolCyk8MW&mf^QR8p1_f8w8Ffr8#R6c<^Xkp*tSo*XsKBtTkqXc<<=ES&{1y z>ak>0yMLHOp$*`b3d-X7?}Qm7Dn62~*~42Fiul2hXc8eEo`1>hLHN{T<C$~FEiSn{ z`J$^B>1y0|s{w5SbmI$}oJlf3Z3t*I`$R$-d1k~o%3=x17TpF`e?Rl5S0AT-3>SH0 zE#r&YTD#1H0KniKm7?800n<z&5XdjRt`MamWPgTw(9>mjZamBUh^kRGt<(4fB_Qw= zwy7{0=bpOMHwCL;PPdsR-qraQWtfn7cgAtr3Ybv<bd(0ZRViLf?Pa(N!(K#Ry}Nn$ z>f<zy!IhY=I6%J`NtBZoq+~lJyC{qphrfTgmytP3;bAirWALd}*ubMsnpSflm&*zq zz<<F6f?dtG4FP1w(>1^UBfFC0Fxyxuuy*Kg@prflvSOemQ_0i<p><3wNgkc@D|lPE zU=#&1S9}EqMlMkJ#teSU7jRb|e*4AyEdxJ;L^YU~@g$BsqLDOreH#QpVQxt0D{C@% z!s1fi^U(i_i=6W6jkUv$ookPqjF~skp?@*t9ynBVFmVNyFPfcJwDkEuI9Vk3P+_`D zCP)}>?l2y_26{b2G|fk#WbYZ|I6XcOFD^$eV!)v5vebWF?{(ku?`LMC&_^5qUurC? z4&gcG2GU4t4ui@Df$?2Vi!Xt|pC^yaGLMswP<*@|MRPYmtrfce{aRzz#xsmcZGXXG zibgsr31c=teRKb1I5SQSp!zr_@47{1^f>>3Gn`$8VY-ehyzROQSvp8wo@pqmd4u^x z3dTSg)!c9%-;g8&Jl?YeKSye2ddPW<E-I=$%)BA6FhBpEFFqVRA0?KXpGRxXXS}=T zgN%VYpUvS-^*U^_g*%6q01hSLc7KxUe&GIl@7Qzz%?1c4@aFfQxcN_h{GA{Fw))#c z4_tZn0|#IeZYRZ}o56V}&c%nHp1<vi>#tH>_x@zgFPJmtvxn}aO#b0)_Q|Ei-u%D$ z&1be>H+J23HvjG;^XLBOe}BG5^9S$){ifT0^Oa8x&wS|i!+$;h<bQtb>wmf>g=j(u zZ1R_T^9$1vYc30$ur2P+TAO6&b8db;HVHlu$zHDuoA6;Vciab?<Tn)x4#Eb{7k_i& z{eS%rKmOa&;rG4a$<JPY;gQHDcOJ|fbPc8UJ#^y(AG+ZBpK!YF`CT*|^U?Wik!|uE z(R13|f9UpmKXLZ;Td)6)|9|x-=g!UFbKxPkZ~)QnynWX%AO6to*S#|EH|EKMk9{5N zuNQFE@vgqU4o-Zp>buw{sFXfA!(X;7l^hkD=WX9E61ESfgP;fRcrmwPh`zZUxjXs% z20pK2%NF^*bBIs%Om>b;Uu^j!UJ-Dr-+0>DS~zhcX_JdDrqalW{eRkXiQfOKvDENk zXnc=&`+gaH;H_(uqdWQR89uK=Z1PlOvtvDzV<Y=6u6#v0Civ9$c3;}+{70w!L~_|C zV`Fks28{K=+ao;AH<53jm$vVJyn=%-s`H^++R0~XjLwuw7hd?|Qt3!UKX!co*x0^{ znalnqgq+HO9sjD;xqpXE5|eYBJ%hf>>a9d?ys(m<?YoX_AAGzr+Xt03%n-Vx(szx{ z{LtE@tpC*U{hede7o%qk|0GiTZ<BZoQ6A0+2_X#kR=W{>^=|e@tB+GZ#w6wDIIok` z&;21>=@&hmU-EgzD|;@AhqonosG`Uvm7)=%r4X&?rn%nFGk^Ui@Z(v157Ql-bK(@e z2Nk$f5A$PYoZ|COzUbXl?EjT3CbM5++(tn4nInO`W{?*v{Az(;u!y_%yyWg!d)#D9 z<n;zjRQKE#7&+I3^FHj+<ZT+~m3aQ1n{X})hi|rLvqUazAm^h%SV7in@cAap$LFZ9 zyy%=0B0N9>9DmOzMWh+u|0K>od2a5lJAN&5Khrh>dH|^u#>cinu%(5fZJ$GYW>XB% zw{(s@|EEWB)|ovcD`bnYRJ<v={AkY@hj(_*;7l&e*CHr={JoL4+MS^aTQ#lK;iE^h z*`r6Nrz>&?rM%n8E{E6e+#Q=+R=8H-`)8}*U5T}!O@H?9|8L(p`d|5YtRwjT<kfZM zXsr|zE-xJ~+hnvGr$a=rtW8?KJs?W9+O_SZ8r#b7*?-(ZX$@`CITq}ww3Bj*A%8gx z584U!$eeg5PN-|9o$Q6xW=1Nei%V;dpU;%6w3C_9K}lvi|DpiU@3eJG0&BW${p6aW z)sGa}<bTBk8GYB}<kqu~9_7bE?$@Gra&_bp_HsKJJzloSXm_kr3fl=DAQQ0f+R@a^ zNc-9ePe|f3NgMW5l!+*u)s`;`NAyOn<;&C|*PizqlZk0W^N<}!)}7#^<$a18ah=Tf zY}oEobez8L*}TX0HBL*3gAhNG9Y+rE`-BZ+KYyc@^JiJhcK}q-Jr%hjp0RC#bE>4; zuxp=hL5sqVD$5v!tD@BeLq1*{k_12VFO!H$8o;Y++Hzs!32JgbQ{Ja2u~T2RVeCsB z#$rcv2f{2Rwy$xK!#-I&_|%>`Ah4g2@z}p8Zffe$MGpIA9h}2KrY~~3uOb*SiPWb^ zCx5~+(T`zt6}>u4n|}e^F&REBfv19iuO<#+G=wkHQZ-FgBciaep3WMbx|X0&DU|ib z@4Ac&`QpS4@yndNQA(<LeKnA?>Ay6Ry;gkK;pwJ-`g5vMstRj7KjK;ms$#9g{@SIx zdfWe2FL$OMa<w=WMrcqQ%Hm`_N;!n?h<~5)8FYBDKLo?B=34|Kk>^!(RXpu=ztvsn z{hx7p^2&pM5??dmmsuNEtt>*X%o1{_h_mdevP?wZSl1SHibuJq*saLG&YxSi6lEoZ zwm=|6V4Oxyjith;7DKN%!fprW0PVs6$(IGir4%B@H(OD%F*0i)GJWm5B@)s;SAP-d zTIpELB503l`q2c!luH6RfJ#_`c!Ti;!G<Am5<I3(oH%pn*T~IygvX){jSh>$8rNUy zX{O-DYq*d-M@aB^9`M*mBfqv+;&U|o-VU^4I?E10a|9y+2aXF_a3HCr^%T-XB#TXe z=8&?bVlP0+9sCpUOyZgdF&4kJ`+t|~MKkq~A&%8j1=@x|F<9@2Y1@D^uAngZ9gG$O z@M@Rx(}f9|FkD{6%OuCCKm{Dd<QeQ2HJXj8S;f*W5Y1O<R*nWgmL@H7JiLaSoz@v} z20GBZrW+Qs8LE>l7S#d>xAfJOBzYpkG|e}6itp=$Gp}4xfLW1)859j+aeurP-Z^%C z!)og13`-A|!%)MkySTIIPfW@pbvmMUp0F{-FW~07lr=*r`7A7jRjlwS>NTKfvPWov z%h+xX$Too~%Z;)Mgj+@;0|}@wp|@xZ8YI4Iz*99SF60_O9+fa>;-#8qhy`$t4D~ww zykL$q8Vuux<KgkXC_|=Wfq$C;wA?Git0aal=aXY25{`GW#dqfxu{lDXpAnxo7r|g4 z5307BB&K>9;G~@!<jsO-xL`&CO75IzBR+d$dK^4c#SkZof7Ab0g+h!t@DNY~)u<@E z1kNv_y>RBW!I~8ms8FP|msVt4RzGUFx$Dy&6?oA$R0~c`G<6jXc7G3zFprBxIFs(6 z*ugA{LVRXX?vgW&;M}-|Aa6cUu+>xn5@Bi<+)gkJgh%Nz9ey~1kzrDzaJ{Ml0}Nj} zyx=4uTX)`Nl1wXwEPFuDAsr1JaTq@I^%$zP&AFHJrb&T7g@AGf%j?`;tf4n9(Z|qg zCWI?0Ly`F|!-dgbOMiQVzzL!92F7s=Q{eZ&z*iIt-c|u}6+*>lH5uV6E@uJF7WK=J z(d@?KRQN()l<OKo8R37q?5%iA#fx^LE1}TGVk?kP2`c`O@e30L#ThG*yI7z?fsLVz zk-WM-R(Eo@gA&lZ!eHRhh`U%Eq9qI;1tLvoC+O{b+g$QIAAc~t<Qf*JXwhuWx^wQL zqQV3)duiB(1ZkTHwi%ER17}^p5&h_*1h~kyUkRy#4Wo#^x!aCwo8w!Si<1qeGE=-C z4&4v5${0g=%#*Nq_KY{CwQ;cIajrm*Abd{7a0_sF=LtEA^@+dfUd*mYbKeELf(aHO z3JbLH<`UsYFn_6b7ybE8L4r^q&B-}~EUTSR?!Gy@Sg`DHez<8cC?gJTI;<BeLd0Cl z8$&GX?W$gLXO?9LQF2zzWS~zQvp7d?MxhoLT@PG_SNU?SFYxMyEok3)Xi$+;_!v$* zVGfOUu!JHO7E|EnFmLSk6neKg{z#NJ;UR`jn=Ry#Lw_$Yy+~>zm<#3<jUb9ftc?S_ zJMmoGX;u<7$Qu_n1eXkln*o%q8hX#I#;iHK2xZOTUH>8K3^vmcX9{^wKVno7n@GlO zmOXs_!3&nWKzrsM6wb})47MqfDBeig=%%<cQWC(8`#FF~P2j5$S4bIpdEyotBS=fH zW|@Su<$pI5m*}BXUIJsdoB)e#))>rRKhtM@O~l1-g+{z_rh0y}q_K11I$9bTrY|}H z|3r#}wdzz0*v$`R8id`e@*lf`7U#@Xep%;vG{kjoH<Lq<>ACMOnJ^r>E(LvB;n^P1 zH2yV@J?Jyha<R?>g4zYTp5Pc#^VV48C(f1##D57@ew3vaw*5*iZjBhj3%cg+(82lU zu0@{LN>z7UXW-MD0)IGI5V>wH7xZ^}P8wvH<))~UbEY(DW|-)t0n(9$^aRs1Q|8|3 z@1c8q121C~8v`Cgj#9ud-^PIChN2wuXr_>GCA?>xGgb*V$Q5`RK)Sr1uZm$snN|E4 zZGSyza{?~3H5Fh-DNRi=)A^C|puq`SpaF%Uppz6QGK-~J%6)g9Ss7AbcT`!&8isQ* z_EYc#I;_;l0Hko4Nny-Ea<afp5FB|UK&B>@grfb75hjqHHwrD|x^4ra&wB~0z+F>6 zu$Ld;6+}%TF;x-K$#po;(vdmnWE{?li+_6L;*aYSkod2G5XXW<n4RvJ^Y>!@>!6hg zayUA4R>arHXbr+NAtqnNQ?Eo-ELLnC!)!<GtAZxPRmG6tB6L^8dlCkG7XppN0(&&3 zPR4K;<0IaLVZT<WXP|5&7Anad3mpX{h791q=lj73jG?=-iZ^^wo-IG_S>86@O@Eba zE&>-M1P@u_67!t_PqUt9s%>Ox@jDAaw6dHS?RR5->xIl3ToZ_|E&4;(m@}3>u{h;k z=YDJ|hkc1i(DMe#j1T$~S`+1sOG5*fzHK^}-<vURFF!ku`1HW^=4o_U#vmD!;jtf& z_y&M*k#Ki0)qZ{m;a_{+)gAMDQ-4lAn9Or=p2dbcd8S`uO@fG30`Ff;fR8q6IG4yD z1NLnpXPmj^q0oqB)8E!awDXx7^hyYIh5NiSY_`%=CO7cUCkHrNMLgQ!A)~L~zx<v7 zg*-dOJOAKg=DE9$xOd@o7k|yec}E8IKirGWX>_<F88<ra6wm&cdwQVLz<+OCvGw{? zYB*;|?OhBm8*%*+?=!U5-`viA3kjQ0*YGj~^Yb1WZ&{%p_A|P8B!*dx(C?o2GT61r z{^h_c6fpKy<WzW5iFI#+zoWp<L@Uay$gsYXFV27e8;^bM8&AJ<<E8&*?3G{2`EKzb z(ZOeaM?HOf_r7o6G>g4;`G13Ve)p-TUpfBF-QUUFyQ^<gCgXgdyX%3kp7;E<c`g(B zsP4YEbj|nq9nZ2ZO#aTIanl8Oe(=m!9{TUM|L}ra@0!2$+J`@S?X7pr!zN$dyJPdV z!>}&qacu&Vl#Bg~o`>cfVLg97KwnjiY?9k7HYw91zG~OwcH;1qrhghzZ>z>os^Hb& znn0X<G5h^%Kl8wU`_@0abj^oLU;psoZ1xara_7-Yc76R9F8%pqfA)g4$&dfj)31E% z>2DlA^Am+W>}cHdgRZ#;p5J`+H;7;Z+`4-&$+)n|qG6kugQ!o%f-%lN{@~lcaee6< zKiqq_*ktC`JF>TWpMQCF?~d+m_*tf_PT88s^lOr3>rb~VTjAcEk+)&%VTsCiO`mih z!CR}5Xz$LEBRyEm9bbE2wQpc%dIWi@*|$GB`Wsl5+7pidm0ZtY2NyOF)meL7;W@Q@ zyY6XOeiQqpmY$2`rcZ8q6u-vrtF=kgZFb)m@v>W**$119)_<`H_TSa9NonczW|J+q z#PzW*f7~YOXxS!XW7qy5VUsUB{&;dN_k{_YjCSuEgJo)M@{^;Nq^DnktR%oDNyI51 z+WHqQD?(_Ki^tydrc$Z1+;@$PT=jQEV`G(elE!#^<V89W>GDF}EL4Wk?rGQryM3!* z6p)^&wF&yJDu2$_2E#poWuY8aUicseY$eQ-_;|P+rLL!1ZiQ*r!xg{vHRi46Oorcs zuLvs7<$a93$a5domT=$iv5SWX>^sbTK8t;aEPsIaJqCe&z?9K>2{x26;e`qBXLNc0 z;#^ky&*2$!LV;*!C`&w0xf}+5D#Y{n(>4gAr`j+D4u5kVq6DMx&2~?qycCVoBfe_4 zT5g5zf1u*GzQ(-OoXIfnKiqMvcmFM9`jMx;@_t4t$i7D2r^x#bdA}p?Qw#zl>*Y|E z-eLTZ6_#ld`xI}(SEF)_M+*D{7nfhNtKh<)fSU;K&NdWyj#7a%^;81zA+*kh+goSv zTr!PBf`7{dc;6+aK;5O$r?U9MK=;gOw``ueb^irpqa}O`BJA6;`?@h!Q*iX?<o^2N zw%bit<?$(5#8-&NdP@JObj{o6UWNG<GIu1N?^JBt660rxztgiG=>3V>*lT<IdimuU zHpA#l_fz{u;f>3MvC7|j$nL`cpfO@Jwuv=D1Am)*mqst4ROz37G<MC~Q6*oBr?Wx+ zgiY4bP7*q*<lXsX#UJ_B)=tv+E&=LLH;wjWF=%%KB+bdoJ9my!rJeNjbdU0PQ;r@@ z$w(5VT5-2qZ`meCbcIIr3h{HwB8Hd4zYm)v8&RCGP5x0zW8PSS+Cs>;V=4@deYQWS zp?~i@RD;5A;A?^ONlc8So%GacC;Sl``}fpSGteY#@`uNc)lX-;t%ntxB-mb(zH478 zwZt}g>D6c_iTt!7ur>p<AtMl3Y>(K}Qcr6jrU6VqR}b44Rv)K94ATI=I<!Zvk+Zr~ z+rX_tnUULk62Z5c=iLxasaZ{w1CLR!SAW>~#+H?=Ra$CJj%r!H+axF|M&vf1jPqN~ z^KKmH>dUb~c`LtBX=i#Jc<yQ`D2xXN5*6S0g;yO=f*UmAARuf8&m0MeMV<q}#fP8e zHH%7cwI#6HIuY?p$1ArmTd^`UpV6)lHP73CQ`g|}nlZ{H({z#}mghJZbH+#<WPf0m z_$A0{KHfOgc2JE{KyK`wr!ZR$8LI?fF;A55$Q6#vf2v|^HmK?`@(z<>SD{XOpofM* zUEw6L$P0Jt<G2;Q_OVLwuW(!B15DK-qAEWv{2YTpimen@RTvwY`w<qxtMaX2I2#U8 z_L@(4#TY$x*4#BOKEcU4GPo2ejeo}BEBG0V+)3k4z^=8ke!0)$uEa;get_beBbr`d zFJU29pm}E(%aKySb%jD^0>%ve7PA3&v+6XOj#2&1f>ozfj=+@_mx2RjGVqy9Q3b9v zQz2E|pkH3mTDD(A))r$#Y(lsa7Pbmj-yuP;v>n0{Hj$26frlkEhD~3pLw{R7N}ULT zn!`d`V|$We%}32ZS&C@T^2@%TFlt%8bUR_l2sd+N3Hw#bA(lb34bGx)8V(8|m@l)f z*ds)-nsHS_rZBS=dOTfAtYHb6P3R)V@{F6kiujiJcta0*8JeC9?DBXHa7`A6y>>e` z9L;{PPs2AoFwI{zkP8d-Mt|V-xThj|KVguO=D?#BmKR%r+;chFFop0_ChqM9wM+tb zObZ#7W2}-<m$m1HDz0XOwbJJBJyU}*-p5_}3Uxtv27MFCWE3FOo{#!s!J-W*r4YsE zQG-!}Rw4xzXLgttK~~t^1q;|H5`6$?FgqM^Bf!~+L^6NcvQ#*~T7Rx_+XQ+j-U!ib zKoqbgtCTO!7V*GE+Y0TbVFo@6oo8aY%mH6TM%lt;a?3rjijWxj<lDNETEwB6OWQ58 zB_izlTp3^SM4~I0<$T5x5y_t;KGBD;s#zhZ<R4T6pB!W@2;LJB!Z25Of3Q47!@_Mu zM{7V_+!z++j*VOxp%1{!U=T~eMbLI{@EL?p!<PYu1|F9Xh6W6O{I95i=zUw{Hm8HE z2A@;QD=*=2_D4i%#23*cH~S8a-{b0i?k4lI5l^#jbsnO4A>Orl@_IsdYeaA4T0Xq^ z4Iv6=$ANIX@ca}{Wq(F<xYy$8Kvw&rBitFk8U;g;K;(wO<()@Wefl|qmhZWWuMuB$ z?2u2gpP>1>u1JJ`n+R0rA&O%0G#94zH=+BLh~CHrALq*m-ShYy6!!D^Cv*0k6onw4 zi=vp%5Bb5j2VuAK93bI7D9##gdX;hN@SB8)B}%X)0+!LDO!&KlPV(a?ze{6rBCmCE z7f*E@Bk$e`AY}GLuoQXX?xfLSFmfYm^okvC_ahVwRUFWN^1fbv?`0nV>tSNB6uq8L zU}Fp|^Ln8qb|e2fgsVziUGc_!oBu8zYSypfjFo)qI6Cx4xX7);QbDP>mwyt5ZM24q zTO(*pX%u6XcxRRTXyeVt2)pbk23%Kfc_<b?qQp?O-g3tuk($f1jpjy?#EllSV9iz; zuVDrWh$+N>Z^MG*n-O27CP;9Vw}p^Da+`_Hc~9m)ilrh?Gj2?Om3Wbl-G$Uz$`(n0 zfE7VUI$n{}GE}m>$OzX}3JzZp=*VMToggM@8x=BZNk}J)RT1I-S;b%RnTog8r)y9u z#0bSm0AG3zkzw6Z5_BSD#f?`z7{q|06)Cojc1bUPu}f+i4zEiZ6bmSxaq033Jtoyc z#k#e$7{<i>&3NnaM@iKwUf8S?lFK3tEpds<VapZtR3R8`QMl)A$cEVuP1u0ukoCgj zaR{pzuyBsEm)NB0%$50!OkSB45amDcw2g0tFf;yfIs9=q4F2PGyvG=ig+y2_;juLU zNXcM-(S#xssYK8%ziCL+TrX-QGbJj#WV&1^AuMx4nLtHpB8arX;hps!S|Y}Zt1I5n zSE~o`cp&=P)05Dp;ZQIkiM!F7(@Mb{TEJ6<wP6tW3ahmWTrSDngo6l5yT)*ISmsJ{ z;hBa-d~LX{XsqaR5!+*lE{TP3ZzJGap;)AUQ4CYi%cW%3bS}pM8cXnGabY#%j=!kJ z)P!U6RA~h@4AvH+Zqcz+f?2N4Hfmfz$ZKVY{-JwTYPgb)2?zmv{xk@yU=jW)Ht-d| zY4(7CkK~!NWNi`B<p!m|`5FMdsyx)^c7|$&)aR`5C3RfElMz1-lL=I$BMr;Uu^ugd zpmk~Z<SHZiL*k4fj^x9yMe~9o2sO$@|D<@O9hTP#+96$l$F)3=s$$Z@i0gF>@`=P( z5Mu}_SD5%K-C<Rfh!sj0j3I1M1fRHd|La6B448Vz3eGk0WFx#1j}OK2O3h4m(5h@G zqKk_H2$@F;^gmFxpi$RBu`9p4EO0J=sU>`VQ{{;|Jt?NP-}UbG)AKN#--&hG1w$i} z2x>{CfL5Z2-Kd)m6AJ4{lgTz(dER$-tpH6+)oGXzfwLDP)rA;wSko9E7I8;^k3Slp zz6=4zC-XTdIH1eB;c<)*j(9-dWf`;hKCJ<D5mx|1X{m)gdIf2$6?S^yg;=bAiJZtG zGYD~i>9MB@R8@GbL4$|}f2x6iu-jlZawn^p1Y3neumE`g%{PI#mU{kYgk7bpV*K(^ zC|UXl4f$9JIj4M@X9nd`BA4Y!6WR&d1{#J@$k{ObI6%v;EcRUOauy#k8SohBzrd@H z4MDOd_c3r8{uYs9`SL$#eYm%O7<5B<Ns5W_A?po0_ZS;{!D^~d61=@0GJ*3FAqlWn z>Vni(slCt{XHBCK;QR)z%`pHL6dlL8@nn{mcN#g?hUn`UES8L`um!@S$FN<?#g|mM zt22i1`R5SQk*8&@fh2>!5YS}thIBFtvTNk>q+W11g2wZ%m^=;c92cX12F~oNK{bV_ zUlpJtMYNfh0cQx+owL0Nd9K{y5#Zql59o{*ezWL>bLByTsXz^=kA@(Ej6()9P&Y$4 zjdKJS+-dUiKA&BOv$Rr!XMz`U(Df-Rx~+F(>WSxyg*>bpf`(_LY)O3guPMRUtjG@z z)xa|j$=h={Tmw7jkXL+vTP^y+e&bww_~vtp2MFn~Afgjr=MLr$pavOvVa$T@Mr=W; zFv)&-rt0ARl3?N#@HKUaXfqhd=c@_~rkOw?Cl*Xyu810Q3oNZ1Ek^L(6$>=!HFYJ0 zk)6EK=&3TB3+_dP0h^Nzja6Sf>Pw=k1+>F9LSv9=lP6_R5z$S55x%w#UL4*e^n;gS z$O2y3g5~N<-v}&fgx&sBPD}$)4m9v+C}zAa%~`{{dRB5>U|r$s{sZWKq?K?O7dwps zvYd#@mE9Y_6b!x51kgNVhJiJYB(Q`-Tc}fbHQ!}wfkz=sh&KQ<(wL~LYrR4V>t{}s zGokA!C$+DBjAgKYT=(l4CDbhDNIDIFc9t{^ux|zMaM+pUlKjD|U?z{Y;_*cjLO|nL z5y~GvUx9Kia1ji`1J;!ji6XS#je6%*OSVsArZ!&BH*0arV{(M|Ov?Z?C>HbOoYAJ$ z1<hJu{f1wkXB19R2$Pd%Mq8~g0YWp$f|U<d*hnz-6El^6THzSssUYgdpm17KhuXj5 z@8va-@->)sA$S0f140!<0P`AXo}5k(t{a_M%2pr5x(QM%mmRFF0b?XHRl+#4c!65R zhQ1ZGWK^u2<=EnRCK+rZl;=Z8O$}o-${f_lW9A$`eb26-(|$_;8kD9}6NeEw@^B6c zPke<7)86xcF+ZQb3lEKWI-hq)j+iw>Ltxz%;8444mj`j_tAjHT#ZkDyXv?-;W?3&7 z2!K`CNq|91_Q;eAXc!V44FdCchm<dRwbY)oYjMkCa+m>8y;@*%<#s%R6XEfcK8GO% z3a*o8m3()eyDILxg!imI%yIGaXK|4XWMFaybsIl__38O-FbeGut|H6Wy+~&uGg(+` z1AtYXucPiF&Y;10CsL<48_Z+sYbcRR^J2E>=8IC21VPTIPGVLH<?f!(rShhR4QDNK zYjE%T`;qzGnG1IR`qR6%b@g?2^OPxk_Na-&h`!9deVbg`g!mrjUGCYtF5Q*cbnmYp zc;u0P;$6YL`S~ZGDERqb-~8#Px9!@s>%pC!Ikc05_%Q3WZ+nK$SUgW<-671$R$NC` zH}O><4Y9IW-AOn!H=oPManlyxtW6FbnJ*UWwv*IkGKG~xx%_<2t8Wtzu~YZ``85aK zYc4qVp?fa<R97Eb3LY_+>$}d#hBgTq&+dDF`=^|(_uf@}_>toL!hFZPdD5kWbDN&| zolA4MUBQm7zHPhMCI>!n>F2U%`kODx`WN8|;Z=M-Sy^XwIZD9tw_$6b>yK(s>qIzj zb{^($)uwvVsP>%Xi4)6<98J7SI2#`(7XGUI_N00s@5nP{Sx?D#kKSAkpK6|lHVHO= zwYn(JesA+<F%5B3$I~x2XOrZ|#m_z#o`(|JWMBAY<m0L1Y~vE1Q+Sx6>BLv#TV09` zkk`;Az3**x>VNp-U-%)*Ja+tKWRveLp9X)vy8_+a;dv<5Cg}I<w+fCQJARC9Le?Zi zut_3fMZ~G$YiN_sH?+C{TmJc#-{Zc2>sZf8uGh|L`!3i7eHR`O%YBzTCr<Vp>j`ZV z=6Hi`GHK5}saaDJ;(H@Kau|LdTbG6Rh&;DO9_rvfE*r59u{sYG7W>my_#O)H*P?KR zqpmOLe~ZP7?h(CL`lk0#?*CFaCxy>JQNRw7k25&%Q~z{7yXd@vx8g46s}L!F#<Ugq z><~U9q&gAI)Uk$ur<@j|Ge)9tBlnh~*~Vv+)Y6?*e*>pF4>6gd)SJVzSvYUVZj9W> zn`hmY;ijN~ZzcJJ^HgqQ9o(WbQ(R`8i!#DYa4rAH+*|QuK#ucMj{ItP%I&#TiKpJT zT1naL;9&hYmxt)N$JjGUkJo2^tHO34>*SslFk^=YoSkCB-8P+anfd&V7jeetq>xjc zd=5&fl+DJ^u(L5Y@{Y5qu4-+1b-zorY!ZWmb^%E(Q*^}4{1K+j@F3g-{5+rdAaF9Y zNpyBfXqwO_rGMn}PG0=m(Gzi-V0Ju+6*8|Tl<Q2ZGSM4V?iL6vi&89qtlO@LTTw2a zMnaohD>g}$5LF;)6Dpr~GKp6)csT9+0Olv$PAvDUbx)`90%Hv@x&KXXY7p(t2KY6g zZT&^pFZH%Nxoo(hyr1u$OxR?S&v_C^+NAUkj4zeYPC}bpjd>kF+DRBi5H&XpN9%{I zh78Oit3d{{I{0e7O1Q><gjM;=U0LC6&gW|3d$yW8%=1U9U7Kf5MQ$}c3?H_1%z{}y z%4qj1de2tEf)B$UWycZ2!O7(zKd6mBH6pAA|7Zg#=d4DE<<(VDR5ILC?r@U$#vw=X zJjc=195&DHXC58KL!BwGi`RCA&)7V>_e5@)Pq75|Gj9^tSqkZYcUd~oqr-bZPhF3& z0bi%ZJ4+$G!|rFK*)R;AR{^SOcx!UNs}O5ITTJNGkV(~|l9*WQjCk=&XAGNUZxk|? z5IT*xPCdNlEGxbNl-%RDzQ2lx*5}vtqN{qyCU839DSIMB<f4CjeZr;{-bF1_YO@Tj zmc+kVRRF!;dO&l3<W)Dj^`zL+xSFNmSyv!(!yug8;}!n~`c~Gbj&f7>M2Odq+dvEb zUte<(p)8e%ta<RaVA$c1U@TfOt^z)WZW+GIViXhQ#vZ_g4Qj=i9~KmTREErc!vTZ9 zVkJ#WkKc7X&=$WfS-A_St@CS1)gP%7%UE3K)H0|Vlm<qB)1=4lv?w#oUcAfNLty}9 zK%2kjD_=541dlhs$@>zlWaam%(?GBsEQ4_9GP;a^LDamhQKEZTJ(wmuqG(Fng@j(? zCDSZq9iYRw8i{Gute~=mBtkOOl#H_vHN{rVq&&}5aN2r((k>L|V4iFiu&oiVuO0rB zxj@JRzmhQDctS0^f9=Q#ak9)9NbiuDhAsN#=@6Asy+;SLG>+TA_@u9~{WOml3lQ;e z*fWR8gmT*9c(dd?#fs!8&lOCIe4YQJMS{v=JTqksxjl*k)>+L0J<nu#e9mLtL|7`T zPOebW6-<gKXNVENoC7nX(J4~dMZ>5?_#waxdWsT(7kbZWf3U0@B*k@0X+nTnmS^ir z%aWTQ%FYGhn<mn{6b@?>u~|cU8sNRKr9j`JeDI44CHnO>sl%0Zo5@hQGU7c-KbAs} zo<-9#Y$M2XC@pIvj5HfyS`CJi3L(C*F2b>kOfAL3&HGJ#*7WW5@ylb?a%7Ccl-10^ zzeT|)w)$aBf04C{%jJwL$y>7u3KX)-);!+Y1#sPL!LY}p1p|*(9GREhrCl0uM!X<9 z%w$<<jJJ>?oe`%5D4R=DKeHYGqdtCB*s^R-OFVlQTR2XyiCT=%%GN-?W)aw>2!p^c z+exGW1RYFbdfGb!gdQ|IqBC#{WYLg^y^WxIX>XeQe=MFHuv-nL8nHr{YfU{c$evM^ z4A=1J8cZ31R@4w81ynJdUFWRwDwcXd#Vs}lhW$8|p@2@sxJLH0;T#k+7{g2M0M;_> zosDHhq##lmlmaIydW05L!QuHb|I?yz2bH{(cdq~@<zq(}6AQS|<cuZ{T<rxZMl6Y_ z7@U3#f4zQhF7dl-^YW}o5|z_T+vq<xoHg16hTMMiL)d?4)#U;s;ChSJOqA84QrH1t zxo{tZNwDFx535cWF+)IC$fSo2`og~?Z_511{hJLIb7$2AGLc26#lH;z(|Rz-9+C~g z!@fOdG78mo6=SVx>e8D-ZvCs~_>x=-K@*~)e~|$}S@hGTCP^2^e-V>h9ch|b!6zH6 zJRTSve|(3dorPd(5f;FvL=?niSwKHcYOZ*WUu1Na3jK2iPmDosf%@=_I5gnmnd${9 z6odYEV}JG=$x%e~4qR;pv5#qUA}qdh*P0TkUn>pEa?Aqmvg^~~AmadVjd<)0{;y1u zf0^Qtfd$xlz`<UK%@2`=G8~-7DhS?!$B!`uguTG`ApAX~5O@$5P?|6)+ZZqnl0gw# z2R_!8v<3`R1zmcIyh0vbzLjdi;Uw&>qNMPJArlO~FuS$jK>G~VcEIyXlq}lKgrITb zzCb!jmAhdHY*X)-5RyW2<Y%bBjSSvbe+hJ}({<msYKg3)I)IKH3U%e%bzGIvlr-4J zty7NRYDVL>l_vcGUx6M1zFNRO%x>AmR#HuaJw83r<1d+a(v;yx$HCcL$1M3d%6Zh0 zffzip<Vj=3Cantb+6bEKiEd*8p=j^Tt4+gJ=sK|+Oq0;}6cz68LzB^(TrJhye{NTV zUjX)C?_<46`GIHPO@O1k9@j2MWxT>QIE1O_b$@AKf<pzg5{+a)K%>H#<S|QwdV;v8 zAUJPqRi>pwu?Axep_d0p*kxZCEQ_d-3D#ahwS4%5@Eiws_}N~xI*j~T&n2UM&*S$4 zXpLR2H;;{7c$LPT7m{KVwH-{ue-xM!m8v1rDhRo?zpJlG1)34F7#iR_nksU=Anc*2 zno&k2esc^0zf1urJf=H5<K=xv(7%0&rjQ)C^5C;6Gi&?65a$U0HNd!5+Qj>KO%iKF zEV%w5&Kkb7MIAXWGhig6cR-wnt2{N5+~+Y0(BAjwX?UWNoMErKJ)46me_*ZxUVCAm z{cOMYmTN%RJ3p1{Pn{rj9UeyX8z6P(J!obJ@6KfKMDNR!KMQCZ7<sE^YUB&17G1;F zG{U>OY1WW2>@aGgrSWPJ%FlGD9$3Tg&|Ifbj%eb=!8kk^?7mmg!kjb+u4vo_07c4v zVN=HQZ|pz^r6y^c%5LHJf4;kEaNgVNzuo<GSBi%4u|nVU7F@{J(`GPw9*rl^V!r|8 zxj@w*C{(eQLGXL471hWlhqKv38HYCA^~9SCcb)O;-8Z2KPTt(<xdn^|XeYKfj)StH zmE`~Q>Q`R*?Y*D>3c{QPd~v?u!A(81d)L$5eVtowyl=iYeePcGe^=kyHFo1~?LGX; zOYi&Cu5Xk+e90>}KH%PZ-mu&G(5DWj<__Ms=j-MTxw*Et6J#6xq|Gd6xD1SfwOR*f zervU|#3r^%C!(@V@OxI~Z$>^h*KxS>KG+0Jz}$g$BDyAH**W(pT>h!gf8>>y&-=pv zBXy6TOWcCAmWOu1e<qpEyKcODzIW^Hy$ip3X;<l*`K9TPeB!02-?i@_-hSjq7u<cp zOXsP+3qJX&f;)HbeP6m}?hSqIw@IR~Z4Gq&?=2VKJ$eG4v+Y?)uGUIXYPyDPRF)5S zpG`;h#}=G+V%7cV2u)L(SM2}r14oXeQoOF7w`%c<;WY5Ce-~JN-(~gCxcs9tY?G&& zSyW$Z5D|{(#5S=enK?d)RvFp^YlTz(PlYy-Jr^@d?b~<sb!C&TcQw{-BTBeWqygF5 zB)hKxxr`p+T3;7V{203-yXi=r7}*5v#NSQ(_j5Zr=N#s+HhKKjSrZk{V$1y9?_Rwq z*^<yOT1on@f0b>*eb<T9ba%BNY<<8wd$vimzMeT?laG(y3J?0IL2&#IZ1R&oY2)sL z{o8Mo$tL$R+B~i2p8dCLi2eVw_b%{tT=l*0n$bue?a0zdmSx4SEDOg`Og>?Q9BN+C zlDct3Zceh}SWZeq?BsAb>EpvqAjKhv+Dk$)J0=9de*p@#B%3resS9yhpbaE95h-v2 z$E{N?P1|z_1j=bC=iXpQT(~sNzQ6yPnf(~;y|rJGrSX5+n)Ujx*Y`hb&10`MD_K5` zzkYFSrvKqY%KbX*4D2WHK!>qM2x?PkORQ5&d4qUNmjhuues4GNV`A_c$n6@N8Qg7A z0%4x(e;vs0M!G(%V?3L}uNVG$#+089lVldx&|1J+)-yI=i?t2hLr#^|$&KMUMwNN@ z1zq+oPw6+~+g8NcJdJyS9k%UOPp?1Uad(C3Lb+SL!<bj@VjUwsv<-8;=$L@jf3wh- zT7-w7q(;1;^^QAXrEc}6>D{)`Cxx$k({*2~f7&pT>+u)Q(OR<Nf8x`BQmzcw?Kv?g zR8iEq#%+s{RkZeJl5VMndU_6EBom3x3Y~jVi0mj9c^}9fKR$xkuCAiQF><cK^|UqS zl5izUu|CKxe)@0aN=T)8dghX<sL%O28N$6TnX7Fq^ny<I?MtWVdLnW!y3om}eIgZe zf1Rv8RgPCfVaI#G4rZQCth37Hhkk<VyDEy3#*INJ=qK<FefF~l%q;~@9qK2?MjuB% zIpOz{c)g)7fLKi<LrYBMesblnmoMOoD?~{@kw$DJc-l0ofKK*JQa^c1UMJ#2%IM_k z;F}+~r1<AT`9fA;sP(COE1ghC;olIIe@*JgJ72L$4vTfo5eIXjN4g+>i?I>z+Z{g* z{MdI9fmX-&1N$?+?S%focJ5DH3c)J%KrSoOxEp?2Z^iGI)l-}&3BUCXD<Wqe@Q@Vo z8Qdmaj(i|z-vPhItH%lWVcNdohv)qiPVtuo;ZOR0+r@s3lwfg;sx#Y`%5TD;e^AC$ zDT8i0=`U{_xK!R3I&|m|6mNbX3_?^srh>zfk%&3+OZ+P`s>n_G*JDc4R!b?XMJ`rc z+YcHmwgQBb;CTa!GOh5B###Q5&tyT#^63{0#iP;!MlTS*h|#v#@K8&$pQnaa=B|n} z!}zxZW?}8Mz7l%i*A}T*)kI}=e~?yI$s^yHs>rO+sMY_ap^D2gNTkWhTxxtLE<5Hr zo-aYcHG~Pkk}gQWHA&(^Pez)fndqAJBZHi@Ep1IH;P+)Ui3Z8ZWz)>oB{3DxUtMSM zRpkdhUpBWYX$VFE2rjP#q*OkI5KM#{$I<CGAj3(;3PBdFZBWY0HX^@oe=J3xf5ri6 z5RduN<b<^2uUb@F;ZYRgSdJo>xo=RWtUy^5yBJ29OoIwlC}4i<{E!Msqrrn8j)qk6 z8x$cdlC$%|I&jgMM3qTd6hMndBF_*?1$9+M;>uwmZlIw3VpfRGPFjaWoyJbv;Evq( zqmKw%>E2mW*!8=FH)z=ze|it2OxE;>X|jU7xJEB-_W9g5{C(b8v%|qyp{hvRnj)=0 zrUT1?;l(@&TT&>{I^#49S^GUHF}z`VHoZM|w19z>hfQh%bz{yc;g*P{1DS+nV<rZS z4Bq{dO9%24z9`0&SSII6;blZ?58Cup?Xu-1{nOq*5*k{qsD+q|f6jx$3}R_=kV?$H z)hte+=@XO?DU5-8)+BR;!oTqH;b03Vh(L=;qY8mIe?Zfc+>2)-c~`t_MdX^SkX_4f zznUQD4e%5jj4x!gInrUj@4_Vna~FolW6mbbWAtsKHFppjZp|gc1Qg<8m(6Lhoi?JC zxH@IEraZM4vv$UHe+CfeBxoN-CovAi=sC)g3iSJ<?+)~5Vp@LCrsl2^GMcq%Q8chw z|G=XS<q#->(mEys9R09x$bw&)IrjL#Kp<usKzlAay;!hoS!pG_Enbk7uW1ZRbO%5q z7E%vl>~d0Dgr$1>&1Q}0QjRtDMpI(pU|C-+cv|XGrMXq>e<$<f=7*H#R?*l0w-ux2 zM`)oDSX5S_%V>)6&5)6TTPNJ@QsM+R##lJxG>ujJ(Km3KuZIVHi8_>&SzIh0pzfgs z5@lUDhVU!a5iFC`2}zRZPv{(38$HJsm=}hiyeX<xK5T_LtS{GH@qlrduBgidLD(@F zQ+mywOMW+Me>V|B_AAXbK9NYyIeHqSlm=pHE)>`k8&IKw8C=W<w+ivB=~PGu8xJ6Q zS_<sXm=sz3Rt*(qwhATePN)qZl`u63<&k8in|1H2p#^m678yJsfJkUcPtYN-rESRF zxjT$NA|DNyWY7uHWaD6C8>r)59iINM#>M@x@-D-Gf9EFk8_o4(nhMPfVrgnWt)*+j z)FLY-+^iUsmJ%7ub3F}>QeQ%^iIcI*zZb67L~SDdV>vlzEA(O$(Gpbf04*s+%%#S$ zTVRI~fLF}et6b1UG%h542*JHEJRpvF^=@Ws7Y4KQy<yMonCQji7>PBF-eqlA<+$jR zRZzL5e>a04Z>-G9Lxr8y+C1EYYKhUL1KOnNR%uH`gA#p`!1X71NqEc{M4HJe?8Rh~ zlaPa*<f*rP<VIWB6I(o6yCR8TM<dX`fgneG%*L;`x-DRdYl2WEog^&8BAqDOz0paj zWIJTx)D|uanCj(?;Y|ujW~65kcB6{y6uJv8fAa!wYoHz>wqnsFN|=QpE=dwr%uM_L z+dzZVxKaxx!^jjd;TpvsiLEpx1$)CQEE|D7pcrX-4Z@%@82=(fS0*e{m72bZBRk=f zxH+D+r5?uJn}q7|hAc=(sp4YR)PFr$xanoP2-dy%;ckcRId^L6PNENIdhlA;=z$3P zfAjPdIsBq*TlH&!fHeGb2tN!r;%xXm1jWs?{d2u7(n2qg1e^kz6$J;q{X$VbL(qbz zeu4kw4^3KF*eWj|E^R~)lfKYTgv38G=r+nY;&!mg&QYw^N~05Vs3bLmE|9<@U#W3O zQ_z*8`?GJo0dSwNGxW6-JTK}Y3txVke?^MEP9jhDU7kqz8wrkUXRG7Rb*2X8HJ2yk zO@o7aVz=-%wx4xp+K~#`#?5$NZJ<SZs>(2q)BA`y!SRN%B=5r=4k_ixWlz{`UTW|T zF=tB$mv1rNB?jOSJ2c6AuKk15Fm;2Be{Jcov65cw-`@WBLt_6m?fcujKkMpNe^9P1 zG4#dIc;BnHQMd)7rEj`Yx<vLPN8J9z9a9mVbR6#Jpi9bK$De-bKfmK$*L>jVZyniw z^|n90OFePt_H`EyyR%==VohgfU&j8YUE9-X>=C$a0KMkT-+kMwFSzl^-#EDG7q?w^ z+q-|~*4NGEuDdvW?SY=VZW<U)e`8*L+>HId>TMB*EocNcJ{3%2=Ds6h0Ap7~Cyvoc zoA}^&Ub^?d!S6i$!6*I+duw(j-fxfJHFS0NcIaeU40KNQqo3^EZuf6V+=bP@p7`eB z%@@Dz;<<Of{r~#qZI{3O)_=cs!^6M4t~<TC9Xi=NtWp)Kv4Vs9j<>q<f0pp~@4;l! z$h_B_KRG*>Oj3-6J}@%UM#~dZw4!HnG87*QHn$1p&G*uyiZGk|Vh5U|{uJ-r<E^gu z^2u+_`K_+Ym6NsTBt7|4>`rG^;Z2GIq!VnFNjk%8Et-o@CS1#qOX9-htu<FC(#dh0 zNB>sKdPzT<6?g2ptkqS%e{xc^1MByw7nJ6m`#kwAD|yjRppBEi>`h>1JuNeVPA<C0 z*U8aeLZn0|xF5cS)UkFbg<f*>XxHmPoy2LaFOdn&ITwYlR@vre){I;8bQ0)@#xAHW z(ThD8M<!|Pdfe!QRB`kqo>@x9F476sc8j$#HF@&n(d}KMR8tzef8weW_nvxnQE9DZ zAs_Emfg3mr2I<V}`Z3Y}u;pzT$2!D7uAkn6I_CKWjxnrLq<E1Y+-*{)`w+hcFB=En zVf<eI$MLvGa)a=Xo3L0N*45ol!u5z1F<{?BdMuAVIzT}t=w^|*6|;tH*pTbQdPRK= z`d0t-;&31NJrhpPe>VQQMUgywjR{Xq?KGcTOKSM>;@FQjSDA`+S&<R-uRm$LnIkkf ziAyd?CWm@P(n8R6fsFa~fbYZ+gV~6{#>+z^JtXg*2x3V35caIayZy=IIa=c-az~FE zJCKNt)wOgj@pVEJhjR2jvAj;`4(xc(<jEmnbaL4VkJf38e+V@vMtXWkC%CHQdTcnJ z!(ABZCT3>y>ZNPY2;Jv`crFK>;Qs2Fu%Db)TnA9^Ii3?IhmM{_@|Qt<DIrI22b?oH zxdJ*NncONda`b`VC$ZuTR=m59zRj>i=2b=~ms~PBI&`AS*hS+~PK*pa(nIpD8Ste4 zRC4IhfuHZgf7o@>AG=24Id*L>xi_jsIp#v`-|&`*CltLmbUu?9L$D=2N`Bl<$<Q|G z1l|Mt;ej2jW3<HIgmMXGB$1wM#}4wdg7`KQTc&&}Egr_~|6Ny8wpEZmPYU2_JMP<- z!v2gf(|6u4$+o+;nYlxP_FvSvr=oR?Fl|rzHsp=pf4=iPxr@TFu90k!L3{@GIO0hi z-`qx_$j?}<J>YgcV&;cL1Qx@)G27}~ZCxhEi85h9kSdCrGj3TFQtX^Io|$~mq63?M zpFKY$UmG~8#}-Ta?h5kf=ulJ!j?fOn8@KU(F*GW4<ZT~l5`JmkG3Fy`cEoo4vd*oU zL5+fCf6v~!_^Apd`Io-@1+c<dRv~dC!Sic9KcqO{>aoR=Ro!dNJjhwdgl!G&s>!NF zs%nw>xU#Ct$1aXz@wHfAy({SOoO|obri!#KiqkZ<N{J9n7iQej799zV#yd#qGxARY z1kf<%%aObZ2onZ*QJBP^F@EaM*9to<ypUHAe^Ti@trT9!pb=N^!wsZzD%3?OWQIuS zAFdSnQuIofY%F3R+e9gvnAMBdx)vtSJ>}uuzVeiC!mdhAm|z!2yg@cz{A`J0)1);_ z_d=LiNJ)ZAX6EaRiZ-<?TZhUqWyQn5v+oXF&DyAd?MS?*)yPSEyCr7Q?H6*t6cdV6 ze<UQTuY|_w-QfsJYbfsH-mJ8hg_n)^+<AHy(CG(bv%ZeBhcrllwRO9#b1leQ<LBDm zG^R|F!X%DH$Hrbx2i<ICey6DnqGtlmWNTu4m{#-H8GD@QNlei;Wk>)go>{ev)-Bi) zr(ITIay_QA7(Kw>PxAENm|5CLV`vMhe}e`w#AA*TZ6pwH)AO!YwJ#XY2$&Q@Cf5!u zdVsNomJ|zp1V&Sj5$aNSv7mGi&TEphb^^AUP)}ooqJuv$z?BsOKLBIKL|RcO8JYsN z+>B~Th1MKwNj5@gKc5U)8Xk`z3Vc-_ehGrqOR{i~#~77`Q`1&Wih?XWm}6rZf2noG znbV|MB)J291Cxt|rL?l;H1!m$cSIcJ8XiksCZg2`>1XJdT9kPZUKB!375eANhY{87 z)gP)JUp`ir4-P|<a9Fskr?z;wdWWG1avTd)#)aKcN||fF@UZ3wfuuxeZI9_03SRm{ z3QWC6ETw{1rD28n*(Mc9)okide^E=;MdVd2@(aqW{@#KjwF&4zOrzm=y&|WjJA^?i zp{1m#1WkdIG~xhl2EU-7W6|;+VQt4tF^FJf%3x_Q#xG&JHu?#=30`#3KimjQVIM&z z<kA_F6&4YZq5R@zdTwf<MTIj1>_US;TPHKZb;OMLbK<Y1mU7rJr#LR&e~@*x(2&P~ z)}`$WF8z;3_0eru_c-jTcV92=6|x`6AVM-QavVv-HrsNi^>&dQnwCe}Yy}aCV3@GS z_`diY+fuD+3!X4E^wJ@o9Ya@`^{j`mB`gRFyZh5RtLd%Qj6`8Wvtmr+X=Tf_qs4Be zXUsGQd-ij*1GaE<U&iq=f5H(HbP<<I^d?;;#%Hl^3mS4~2Ui&zqUJ^nHkra%;`>+h zv8RQ<Gj>TuLy6nry61{MnSmRlm@&33`e~%Xos*PedScIvg}W^qS8Xiv9mZg#QX)Au zG=ve!@>5_`N@{nPu%t$S>T<kpKf+vhOt16(@jDKvy4$47r*ML&fBXL+#SJz+X$udx zQsxBifCm==QWy-DjSjfuJKJ3BT1J;|3FI`9#dQb!;ee!|>o?x6xLHrSDR-N+&1zED z<cc(8g3NqRypFxW{I(Xf%F+QYM@k{|R(zwT>q$JCTmBk2dPd(OgdG0s3s4w6KH@eC zDmyG_Sv7*x?3@_vf4acE6rmQBf{sK+diH$|rh7ra5tqhU7pA#5to}ymYTov=kTg3k z38ruDaR1D;dSz!vr|BxlU5R0G-VO)t6pL3cc!F0G^7c7AoOrLi0IKM~x4nWFV^9W! z$yi(6A@X5GV~~|J;jukz{KHl?O^a#mw!42y??+N*yjRFtf8x)^Uy-rR?Gy!sH%_YH z6c)M|#gRcmTF0gE^&)u`m;4TsQ@G60mx<wV@ZalhlzDwPKULyv(Pybw3aPcZjI`zN zX-j0moOEH$=_$B0dGXwc5Uq@gG=<{NM9?FNUW*LTK~_&uI3<d^S(mE2g#oQ-I%oX_ zQUSLo?(Q1ge>v5$^%~J-&tL(zLnl1WaE%W?z@(+<awcvEUb>28oVN@;=}p+lYn&%{ z4sX9<E4?(v6bYp1pB35NjywJ6M_gAqJM6nZ?pn8N%8rcbGQKlz$HeCvXpt_LX4?7I zH*vMzvgIQpZCl>pS^9@lUG``2;vJNUAWiWVUhmLZf8+LW|E2DShIKYW6M!e|{a(M4 z2{EJEyWEScDSL)=NDu0+ldvX){Cb~gORToUw9$u)SIK5<ELV#-gq>6OT5K_;b-9+` zb<Rt_9J~58Ti)=6#|~cix=(B#{f8fZ;KIYlI-L%zEyaDXmr3lvSGaoL@%YnsUwG|L ze(m@Fe>n5dUF~;t{qAr6_#JP4^-Z_k^^1SMb@c5Qd~wzLrf2Ln+e++s=*R<mFYNsB z<1fCnIrYAa&$;L0cemd@h36cj6S}{SsabPx-3_yZE|=!;=9hl_CU5Xh-}wi3U%UPd zAKLedU%c?a?x#O@zTIKgmfBm7B>HXF>pl2ef0uT@=h_nweDqH~{^yyg%%|S+o8P?Y z+RZop?&c>S{qZZ_e&HKd-Hv|JW{bp*S@FQ$?#}N%`WJt_;mxCu_1ts!kyW>AY$F?Y zo%B6lj&CDjCrwV_8pfaeq$}k;luQQ8fQmB2q~8(msorOf9_z*Ww*yF!6O*~mk93W; zf1heQk;3zmzpydUD^ky6c5ymQ^X{=b;}z$X%quR+GG@-6maSzz1)a2uHe8*_<Z@jH z)_=ME)ClIihkEj3NGEIl@7`x}flfesB$pc*Nu`p>wiA9@I_~Q|4xNY*(n*45&z~_m z*+?(PTVit0V+wp?bhNF#tsQ!JZq(OFe;Sh{@Q$TmCb3i2Ip6E*@^wP%OnsdkIFL*p zI6&(kd>!t4B$s-=XHulE8afZNy4!?5Z@Z9DY+ak~XjRirJ%1Up3j4%Qo*Tv3H9AW3 z=Oa47s-KPD>FV;wE-doF*p&;$u2hP~E<caNBO}m>KX$d#*mcI}WX(J4)k!M<f34-x zlv^@3)+xH`t-_;=$oQLP2FIo2ejWF}=FQbu#_Y2w#?pQGLaqCC$8*P}dF1!jkUZXi zjpNbB!MerqAZNORcHZjsKTNihcHbe98P+j|Z)*avxLMW%^|lBP8D*JR?o@K{3(~te zGqn?W+Md!I@L+=L6{ZTo;P;gYe`5>%>j=O6#^m8X{NR;pOLlaUJmg3>mm&XPJk@J( zaq7oDjJJ|4l9{BRzI^!<7ZdY*tJ-nmnMW`X;cA_0JANE{(9xI#gG2F}Y>Z1ACXb6# z;&}{VSl$DJ4;PG#Y`|+RlBaRYAG=H(4#$q!c22y6@JVz3urD`Cf-yF0e=coR<47l> z2UqYOYzy}ybTVnyBN9Jc^Kn&av+%oP?z!9sghMAsbGd!Q-+W9W2c5u8SNr^{uApMa zj{WRsq-%7NGejrmXQH6>%~{Y1FoP@5p`Rc#b2{S?=_gbSZkji2AO}_^BD$w1kw8-T zM@K1)VnT!OezenF01_FUf212+8WHBV{B7?`t*RsG1pP#ypO8+l`77zgSBzf<y}Ug3 zpmCS#1YISukEr|oBz!0Ar#kkNlcz}6nCfzd=)``v-}2^<i80E<X-iz9$o@>?QnI~Z zU1NvzZw$iqjbbW6(ZcznZ-@IcI%`}rO%HN@eu$@(1Ht}{kn``#fAC^?$&5J{maH^) zt*)Ugb3Ir{?mTHEE<I05EyR1Vu8{)la6MyYn~Ao&AeTY-`R9?CS^sEktZO8j)+;^> zi`F%2*t9+px6@|bqwzy73%`9Q4yWI!I;&8V{8=9^$u*zGDFhce3xR=)<SE`v^a;XR zZJDPO%G9rjg9&5~e`Z&N|5{z#lI2&i_jQ<OhidhZ)go()tESc3a>z^3PbbUB=+@T- zYdyZ-`dnL_BlVNKQPErFd`xT{dd+Vw)D}EfvsqFCJs)gwadeY}OK@;<OXHjI1B-t{ z*Fsa2)aIvpBW3(~SHU5DQOd)tPFcyXR&=3Rm0i7fwk|R{e{=^F$y?+MWAnBS;xTs@ z7mXB!!zPomgrWxx<5gmed?tV*5s0g_Mbo=!_9VP(g~IYiLG$V;j@CEAAizIdgBa0; z*?GcoMW^Fp>P7gEL{76YZ7ixo)L#@;i*wUYYmur&#*A@W@MMQC{9liGLBOVj{ZU~J z3+-TCBjq7Ue+bi6@K1^?ob8_1sexT$MVIWrceumbZfX`zhD$xsA@z(%cw5j($Vgf3 z95Es*{m^w&3tON-*TdW2{m8S^)9xP3jMQc_QE?%dvgK)uCb7UsiG!A=n^_k13knb# ze_L>zMU=o=B27nIi8I1<kF~U!1QkZP?l>%Z=MMCmf2L#;)CqGWw=tnG^jOK5j}5wg zh@K@yX&cqmB;2Ox=o=L}Vq&kxZ>=pe#8yiovKU_EoER4Frv=^^4pTVk2vLafTn+b} zzwRM{Dc%y7dK%0mP3h7Qp*&o7#>!v>l$O{kg>?i;=nDVI^b{@fBE^_&5RA~%Y@(xS z)L&=yf4uYSOt65sMHgezfuraH17p5;KyA#wCP*a|V##=_7jx(pUDl~1A$7qZ1Xp^G zdXa-47ZI;0AB<#8wz*Km@^o$dL`&=SGF-VeW}#w9gX?B-ODu&Le!$jrk_-RhL0yFm z?SqE(awfvYx<@$ZpC*Mu1h)v3laSb&5qAl;f7H~0kYU!POf@9%7fa)BCDw|jf#C$@ zGwirSD$_ZQ*`;@36r=Gd?TULb{*YR5n)kXoDYo3Gu#eoZNDQApA>E{uNz$SuRp6gg z!{{W~D5z~1s>9-w?b&Hs)n&^&NM*>Vjq(aiDs;re?rGWBCCznM;P-c_vX&T|RSrHU ze=&{CFdU6BX-*5g50=oJgHs$QI^BB-H%X^FafUU7jm@Tgr-pZ`Q;9(Zf7dLfpu1#C zq$dzVMww$Q57v8I)+~+F7k5}&slP~<E(1e2<J&UW5*DLQz8J(q5tu&=aRk!@3UbLo zc*v2$pMyV)g76QM!n(*$8oU+*R?0;Be|jXb_?hm9Th=B3#bY-1T=Kv*4Y2s;is1*U z_+M=LJISZ<XSugSd;V9`1@56KJTQ*9GX<xGy9L<=hdnH^em*jgh^f`LrBw}k4Xy?T z^~6TubWS+l6mnL$z6cwnah8(@M&gn|KK~+44xyif1(niBHSK3YJ+7bnTnD0re>Ej` zW6;t_*r$XGF~2KOT>Q*A-)eQ6vQi;STU<Io*9_dtzWZ#)qMffp<5-H6;>?LX;WvgX zp*pZja68?LNz<z|a&fKn%iz^YDbb<Dn@t9ev@ohcD88oa-d42@ORLQ}jn6>&>kc(u zc+pkGH_$?8`~`^?SyEhSwqcZrfAjr#8Dndm#i}~mnOq7bGDDIcDoy;2&^GPgm=#6& zh55$CUh}e6wM65tiLN2AaTiM$6s4zx`l=<s(~MNbB|#2ebR!Cc)6+N@8-5sML5m!n zUJ6&Pmvr4UdYyyU*d~0fk&5S`>#~-$tKI9z4y9iIJ%d=LE5t{SC~4{afA=}BdO29q zd9D4TT>Bxs02X&17Q>3J?(M?fV|fp>iD$dNO4ETI5=#q>FM0{*m9t(-h^-rOR|Z{p zUA-DQc~&_7U4oxs+>TwlTGgV#j59=&>5hmYTZubddG6rc4HKUI`}odXqG)QLgQcg0 z9ScjflkL=Zx_xtaQnxY~f4l5qOYEWNbcwfOUG|+1;GTLab3<nOhN-V~{vVe<`NLPa zTfUyK`$RIvLzn0)y7)Gyoxu+byt<=ft@qMBk6pLx!f#yj#h+aB>^~mA>Hd5E?D4~i z{?)i8)24v_uI@kUz^mRr`0MEhzLq`vA3n9Z?}=}p@BQ)n-5=cbfA!(sv2S<T*_mv5 zHuL(A-F5V$*Zj?gj{o3+KRWn{uYBNpZ+`NHi_iY_)0_K#Ccd!t?B;5_oKv4O(W=@# z_QC#F57=wHyYG2z$7S+UonJh5%@6+LH*Wf;dtQAED;eLLAG@}?-GA2K)+avo*Y*Rc z?AdqR37tHB{_G$Bf4=*J3!#&*?CGX{lJ0%~qhG%3#ci+o#D|XGaQwl8U;39j{>yDo zzIgELKmPjqfuD&TYt3zUtLnbI^67o1RrT2?j+uGBDa@#c={<>Qso0M!w?QN@rj^VA zrYAsb_CtY~^L=sz`~4g}I(dA=%r_2YN6c*1blS|%9}$P(e;1#}9Pb|N?<mBnQ<O(M zjdyRW+BGST9i_Zdsh;g1-V1oXP9{kwxD$(*ays!veEk7Gl$#u-8Q_>0jx`kid}7S7 zkGW1-w`V4F@?~P0x`g(UA)Snj95{e}lG{f*DK=(uH#WsWPr{t<lOtx|Md~NTLL)iP z8W|y-pl?jlf4UZ03!%`t9>RTFocoFOx5XTqahHx=lNh^bEiZI(lyu^s`$7J}*fn1# zz-|UUlJon;zI`MGog9KrFm_Q+ao5S}_Ga`@oc4M#h#5a({CcyWqWc7X8pNZ$y(^VA z>l5*tx_J{!>lx|2=lE_h>v-om+jfhG`e6@+_PBV+fAQMI&<zy7N^CR!Rra<UD14O| zf`13a7ndC`_ogqlsy#Q)n74=a%`>#0;#u~s$;0a}aGa0fiJeye*!VNo6zsovEBW6k z_Gj>%viY52_7kw-_ia2GQn>xj8A>m91^L{)#_33$3tiqSGJ_P~s(LTKeEf5_74@2& zq%N0Ee^XvpT_t)kn{Sfb$Mbvskq-v1N9ayq^5{@z<oM*#$)h93lXF-7p>L-$WFMal z!_(Qxqscj6ZYYzSyX5`pEN#L(S#s^69OGj)exp@wfli=<^gff{Nna;e)sEY%zdBxA zMtd(dTNJ5VLY?5saeVZ|Rewmk1SaPWJQC_;e*_<#NY0T?Mo&NnW+*dyBG5_xs#BbE zT-+PK-l~?II@L=$N#{20d!{Fs!VT5rBtGLYnHxF&SH)#a_R`&L>XuyYz%#U0BN@^O z?YTI5;s6#8Pfi}E`z+{W^u)en6iYtb#XUnh*>?=_NK>3=%-k)z#<!ybn6Yb9;+d<i ze<Gduq@a_Mev<BmN-%ce`!~=D?wT<Qg=5#~iBtw5&<TZ8|JiqpV*Rn}z%w*<8J)y> z?CSpCtvbj2heE7Fyi_5}aGfITaVo+2qOHglw<nB^^^V5w_`Yw4;o^3eq+kL$nNSqc z0h>Z$j~P2$-}rs<#muy7Uk7|eTLTTPe?!c|rgezqC)@X15?;)kJ5Q1zg_FN+!nY|M z`LT~9S+MUna<m?DmxezR_%o1$lEQr*+a`>7Z3kzbSZPS9Z=P`70S)HYy17JEYOJcn z&5J5D#982e*WNlWu?djngBK26pu7b$s5gBD`LnKEu%tjhM(m2|05wvWswi72e<B_~ zkI<mofZ6cd4J4|bq!m1Ur53tO*%BR9HrW3?xqMXh&}M61Z~6-IYrjyvnuS;|aE5jv zX1=RJVe<t!qzr+cSC$EEC@37S@QW0UUDB*Rfjcl^w9p8kzbp=tBr&mg{v3+DI86@o zjWm{t@Wb*lfxv=RKC8TBX-KIre=oGuj*W*KEioKe0$Z7U=;(JO`lJAi@-UT!Y~j!{ zEDsLyK+2(&48lwGI}|L?wdhBCPju1BMlxy;D<Ei+irG;B$97~%n>mh!nQSz2@jLw2 zRyC;;AF4xM3V(x+7UO*~t?VK3Mg!HgC>+Mj6Go7RJB6c?a7>SN;3%f>e+RXogVAvi zf0z}CsYpuCdLQq{&4{wvGkQPGycbr#>5U{4JF_a->7|~A>GHysP2qTY&=RM!)qy!( zDP`thYekUp!j}X*DanF%40<H+ENv-k+9Wq3LK_{4ehuYW<CvtXGPclLkZMK-{St1H zH41_qC)0I(t6HNpo%|NEf194BJkeDqe19k4VW`R*Ec_LU1cbDSD;cZ_f-!0#2d#^s zZUp0Pb;LE;osQf><t6eCKf=Vu<gg}$(r|kMIFd3j2XZL-b1~~0dz$EbC7sXaQVx;n zIdmoXaPh_pV531-%wd<h1cDJ^YcYe=aL^G;vnW^0{jwx21Ttfwe@#cqPvaOrGw^8r zZ32*T2A6QtFZvY?E4}0+znKE*cNi0r?(@em|6#QkG3K<e#{2{%W1Fjd3a$*aJ0oU1 zPgzFKxWbb$nnB+2G#$4z+OF`%F%e{t$iSiT560DEIy)?J6q)T>N(AYIM+22DhTx~P z39V_7qC7(9x}DHAe-cqYL4FRRAxB<Js<bqg7Rd*uhaiTV2AAYa5xB&VD|Y6bSGTH3 zW26!E5NwGtZN?ULq-$E@+AKlYg~I4bL*gGuD={Yo)-eh@n}Je}WS_)RQd=g>I7Od1 zpgw?GGzIbA{agF6gEn$bSjgu}jCxQaDH6*ep+2KP1jNU5fBS<$^9{-(3s+J;QtTwR z)1FePbic&!@#%4^kI)fItrcCbZ3&U7Sp=Z-w2xaU#8Wh;QeX`*Tp3r$83avH!XLOD zVvFdVc9qiiAt53pREC&1_LYq<N5fiV%=4XUGjJ8<*+<lHasxC1v6RkLl42dA@Acu> z(Pz^x%ox_}e-ymqn4TRNY|G(pC*eQXDsJtt2a_&odSVEd7YF&!L~m%TGltznbeVZ_ zA&6#eMJKf}xKF}W#rP$j^$=$CK}y1^1v)BR7;uHhlfB0Fq%)!qm_11`wx0_=)M5lh zznxYHR)0TjZmAtbqnBBLhcDz%f|wcWA6wNRNf{AMe^LP1b9mN+(Z0_NQdka0x-v4* zhhrvU57NUVy)`ig;=b`Z<$jVi;loF?z~uy98?Re@oe3%2&Y@5|PWIN8HO9aeQlUqf ztGO%PF<Xp_2{D0=vLD?hg=N0Pl?<Kfo{$6Z4I|9k4nJ+>xSgU&t(_zY9q2KQjsZXN z@3+(re_K*4bR(TEa>UPUerwCxgj+1Sb9LM!{#&eYJtEm!jD_Vmv~M|#qi1nczagOq zII{Q)x(Zyz&^K>1LWfB^FtQ+kK@OKEy7-v9Omu7xo1bl2Qyd3b0c$`0oPNvSg`rp6 zNo(HP^SFnD@|-)c7rF0NVc&rR|4R)L;`%ade|Kilp`ZZm-*4erv;#_trCIIIk!wZU zW}p+tOY6SPT694%H+eh0g;nxjP0oJXTZQ{ysh}@VrPCj`0Kb*6Z&-^B>1>I|R%dX_ zI}-NW@GcpdKn6y3yU9K*lYbTxgB|Uj(~WeAtfiB;xVV#Zy*1cZr6UkFDdKV{-NUD; ze^$AD{TREjcdr%>y1-P|bcW7kt1CfI-f4Fay2JQZ@b5OzXFl?9MtHA$gZ#FTJEymP z1l`P2wtXe_HWKK@nr`7}F}UNmzcnr=FeUuP?ZbN<VeYk+Xmgy7p?Iz|-S~f^`K>W` z4fKl(`>uTN=DW3X!Cvo<7eD#vx6bYTf68Ay`pNHn=zZSbxVP*V?%r*~cE??GcDLv5 z{_>C44ZQQv&<nT7ogaJji|^{T-?e*a_at>9>P;7RZ~pTEXS(;rS0DV@n@6wswa@PR z<%K)1yKn0K$#*5(-PT$C>jp0F?^zeao8jK)TB8tLN$wozSKIp1w{O0CI(5O`f8MG0 zeE6|%ZS|(U_WDnLcXpNcRN@wO1UkWWpnJeZclrr*vbOJaqeCyeuzlxUum20u$zES4 z=9%)s&54WqoWs^H{`~DPUH7)P+}v^TjSp_W?vGycz8$wD61#`bdi}c1ukG(KU8+@0 zSSI<bKG~{@&`Lwfs|Wj)nLH1{e~Se@lcQ#CZ#ch~F80Pvp+}GI+h=6U9Gq?5LloTG z-u8ShH*{XxiQY#>dM-jpXV=M-zmUkrFNcI;W%^n+cF}xnLPLAV89UU8Ki}HKVGi+H z67l6oC)l`&er`8&?J2Zi*8WPM6U-RynH)NgW*9>!IEUJCNfC)dS--qOe~P%cR$thv zmK1bC^X5r6u&JMz)uAGX^(Z6w`2#vR>g(iaFD-`?Z71INM#O+K&C4&CPd&cgy!UzJ z*vXSUlLv+l9DoLM7oFU&fo6VFCb8AY^92gcxzHVg^WWDAhL%t#lyOdsKr8L^07H+6 zFn`ipplbF2!rPW2bLWGBe@^_dYlz0KNpX?zb@B^jk|W*3&}_P)RgD_=*B=h~>knx? zBCS8PeY+h$MwtgnJY$GH{1_teVDHhJ-d)y=^KmI;Km3F6k3-BnwvP$3pX0c|evSxt zLR<Rj5ads7AxFH-8pEd*Z9)fd0UWR7^?I^t{bB!p@nN#J3i09VfAKyT3lZ^NQvVv2 zcWW|3Iv5DUk&gVh4fbE9!2j#MU;jD=HcChS%dVf9+6g<xrsw`vHE!Rri@t_+StF^; zGri}1;J_nS{b6!$^h7#))gRK@H**azMa>DbcnEGYcD2(rha%c>t;gt>fRnD_@FnOQ z7r9Y5cf62$@R8D?e+{ple~xs0)c;~;HecGR28B8~@JOhW(G#@J%IKtMuiiP@Bon50 zvKK4lL{DBP?N?q2|30kCGWQ5?eB(aSNzZ7m*ZP&8=bn3{m-crQ^e))wP#B#I#ZV_3 z%{YQ~Hf_?`i@ru{+)|m|XU_XTDntE*)`*ggHHt^W0_Mb6e=2mFo#IVD(I)6nK$|?c zL(UuLtGQ!-KY`W)lY9Q>r{dX9yxYSzHfx7EIq*oRlhG5&IqE0LIouH!=_KeUC=i4b zVL!p(Rk#mB9PW_Gn0u>L|1Fn8KY^EY;@{W(<zJFc;@D4m{>ikwfrG6~qTYnDoi=l` zgx|^o{}&Uxe-L4bV1GvEGq#b#y2VS$fpv`INMU*T^^FKL##Y7(!-M@Bk<S=~JH0{r z{CY;eJn>PJDh>x1+qO1f(nw=T9B}V?o^M~e&3J_ie+HN7%g&P&Vb(9gZaZ#~*Z3ur zLF*XzlTwtQzU?^0lCdNXMd66oxE<^AZSfm^zTrB^f6!hg`!!P48!}E&s<mg~&cC{$ z_$t$=@N7{%eZ^S1?G22ngI^IUs_OoW3)CmfvW2!^(L!|#uF^s(g_lY#=v)7_1w|qP z%@s^*OxRQ-fS>$+uUdTT<5cUjT>KpU^Qdq(6<nodR|+qcTF9r@ykQ}cXnd0e;~HX2 zbKNakf2mmc-T&D{b3(ph7R7!^ftn6^Lu$~z&xKo((;UcA#n7XDXold>wxonO#K#n) z>-f81qE6-Jk$BN^DE~@~%f3aIeqrqw|7ncE@YkzME|zck?;QOQ$iabEVwPFi0LKJw zn=PS~Fv3)ZV|J)hT~wIsia~1-6Co%9*?W$1f0VeAG7%HBdy~RaJxYt5Ji11i7>bR7 z+3=PI8WF0+BsFsxy)nSi3fpXmt$>GHWI8}k9<Y7HR>Izo8TT#%CFHaK&D#YTXMg)v zn$cp}0->RSb3f&)a1-n~Lhq+!5;KLh>YTL^j-H~S4>_ep{LEl?<81;QL4RU59ke-F zf1Tj1E`>lr=IqyGlQ99}KJ*bXItWnY$s3t>4FxVsc3_hCF!eE-4UU)3X@O!$<76c1 zE6AGsE8ny~)>Iq(pE?LH42?6cctVm}(V<|p&kymmm{H95F^c3Y<M!3%2NxYRo-Xkx zY-KdCMdD>L6(dB}97Rxc5Ez855KC34f9Hm`SBO|J7!!Vnv_C1)?=3&TL}4((C^W1o zb#M)mh8sdO#Bd40iU(*yK_H`%9tO)A$O=uy)yAm_wA7{xXfZr3?7>+?;EF$ueu23y zHeLJ&Dcg9N_TZGQt5yD)N=b?z0Tl%Vs~5=$tteyB!J&hXJ{@?A6j9_PIo||<f3zZ! zE=x3aIlY*H?}%PpV}h04f$WO3s7>X}re7@=S}iP82%V&uwvCfj+eajI3w?wxy;|xA z5T)@aT{_P(%-C8_n-E%%7?>j_H8yedjZJUKU_4r82b0}yETN@q>ueSa>SQK!1epv? z<8}b$;+=3JE3z4lj^>NT<+!f#e|ZMByUnMN0G4Sfrs=0&Xv|DKRe3;Cs%Z#FAuR~f z9*h~>nIQy9ga*YCJgbzBKgfg3G*pTe^g>T{3R@0C)ZU`4vs_nA3-J&Hv>C;OBsJ3+ z5*O2dVdE{KtF!BAf&G_YloVT@g#DZN(_)%7`k`P9HN==sW%MGN8POr;f9?}wS}37r zaSLVbrv)hfKm|z+LEwi$_BxAHG*XGqeoSahdQwn%3Uc=y5eP;?e-H}>Itp3wX5jam zXo8J-?I5J-M-zorq~64EY8=1)?NQJNX{;BYEPw@s_)pxG%%Gd#R3|^6ru-;$o+4vd z<GIieLewm7wiT8(tfvrne|iAxfL%OuVtY=NzyTTA+Sue?`KJG$8*M|IkVs04K=9Cj z(|JPADy&U_Qv<ew1~PN-cpm{V1h^er=IGf1SL;4396~Z@P$C{Hv2gU&07u}aF#5xX zapn@pjPxl}ThC5Qk&-I44iT@y{Ts}FI*6_27?VSLrqPBNtf0A7e`%#%Me9M)Ey$Rq zp~Dz_28AcJ(4Oj;GBIZ3>-OO}@D(Y;*@8IM&|yp})(T|;l^Ahf6tTARGCk`!NlSQX zXc=F_^)SE4s8btp^2EYy_??EEkwi-AU@tPSzY7Wx$+Nq#{saRP?zX^MgX0}SlaNM$ zjs2Jrg8M3S1@MM&f9*!ppywu$*y$%hV@OSOG1-qdTck<+4Pq#~K|Pzo1Eg?BeOh`R z#yjX;3QL(w4<zGa`hL_zO9&Zmd0fo1TTd5au=Qr~Xiqmmq!P05r|>7T_)}&;!ck0P zFw+{*8vVg0Wqlf<WsR>Rg)*p5XlKhc8s#eTPVd4+%vyise_m{8TQ=>=476`+w%+tR zEsR6Y=Y;oV7*}j@JE5d|B+)jT0ebCyNJ}ke??eb0(glq`m@#BIeU|+ZKY0GpWR75G z7<UV{gIB{8vegV*^mPw+NBi21!rkMaAM?|%z!3Y{hAZu1PY4_Pk?Z?hyJOnz_}GQl zh@BnZ#H={df0D+!T)emy&N|xaA(a^Hy?IUvXDBnC{v$kf(Ce3*wqeF;r|5;W(?1c` zgKh}O1QSu5F?6VuP`j<K+FjO|65UxRtt?MnaHlt%=yRpjzIKn0d&1-~u-7)+PtKAO zFBEN89(?n*u3>yy1@}gt80)v~BbO?iwe1+Mw3}91e>iix&&lErZQ9-KB)c+c+v<0A zCP--f0*NoD3~d=6-jauDRAM^Y48k<N<gusE^=38>VRxJE^ItDE?%uw7&yBd<yYOSF z{e8Pc;>c0FO`v=3n3%+$G}@4}lF5YI*X_RNrI#+;eBrmQ-TSe}uHEyRtH1lQ?jzTw z5^F!Se^yMtpogDI{a*ToZ|bMJAO5|YpM7HZ>1_{R{+)kKod2;49!`Aq#cks0&z)~y z)bXlwFL?cLg!Qngmtk?;M{Rosnwj}eiOje64yXU_4gdPxYtPyD?l)Zi=-%7*{m!ko zzW(luAG`dv^#?~kc+X3H+rPUxOuzDN>t&6$f5GTvpu^qy(n}9se&IJSKltYt-?skZ zE519>efQh<x^pv=ZsMW88&2>2y{E37yJPQNUt0Im7ryE4eemJSfAO#Omc5_-QbOIf zP3*nk{EpXc+kXDVkN!(o52F)YZ9bY1GtkcMf1Aj>u-8pLeb00M`QW>+_?Op@zVy;d zfB#|fJ^LRdoxEf7+pKf$dCA#!-KsGC%C}d2rMWh|YUoEl@)rZ8QpX<06}@e)Oosb{ znSF<H+QcR<&yAREh2W#LM7bPBx`j;dDJLyble80HR_rme!m$Hd4!;>>XcgW<$yZLi z^(#%(6)c8^ve{Ad9@BAh(8-IK9Q@J0e=N?kP4u>bdAn$9hmCo_i0tl$PEOtAi(v&_ zE@v(xVY0FcO7@=WBGn#3DJMlLuM@nTj+st2HgjzRi(Kxhr$&J)Bj&muKj!O%$QMl8 z2<fD^w<pkv5U)5H=!E3bBe~H@?BiH$CW}1<_o*)0-RAL8DRCp0`(V$Apnl@(f21I_ z@?GYgQ$x*gO)Am}PIGY}=j-JCZF6OE+ZSlb*VZe<WpB^D(~MnNED6fBMRkIk=W^y$ z*T~4po@6qwlk~oQhYrP0C(i#<uJtvBc_fGTPdhExcnfdTgms7ylOK<aZ8)s&lwYCu z_IN$E#eaV<x4eel<G)F~@-?`@f4bbt*biHtn=(oKgUNSH3{Jp{L9HMCQ8?FQeIxQ6 z@5DZkWaBLvt+k_a2aTURu`ugO#{PPmbUi&58iN;HFz^KS)UAHFrg!UhAlDztUoWPT z6o0dr+BxX-4+L)-{ReZC(9ic)tc!#<b)(R4Ci~`02k8j6$F%MdKZJQ^fBhr!$*+Sn zsaO8NeQmRCpz$b|d-exn?ktg0b8|Lc6dpTvVDiL?Wb)qow{OqUzP?3c*U_UCf|Wg@ z2UiDyotq!QI_+nSyIfsNT4aI#NbBk4^Cw@4{QOJK+}wd&`sA0PWBQ^&T+F~Ln{CMm z?qhLGy<=cy&C)h}PbSV}GO?|RZQHhO+u3m@wr$(?#I`fBjmeI_o%@{gyx;G&R<G{r z>Z_`*?yeH!6n@@!B$wUsZKX;9bWkt8NHab+<ZQcU)c6Zh6mgCkggO-@@LNG}R9-Ni zVF8}D5k;|cgd*-qV~_OQ4@S;J1+(@Yy*?fuYKF7a)D*^&E(cS$G8x|XXEu-%rDRLn zSnFWl_Ce=hSZ9rD;*qe^<|b{ob|m`mGxL1|eD*4ykk7VhJ1Wr&h{v!1o_IVVz_5y7 z4BTMes4ykIlh^R=;@>e)-(SbXFK6z%5`}2pAb_Kk_sGG%j*KlYrx~_HS@Pd7>!n-_ zfiNc|`dXz>Hf<8!qdlmr8MgTyJGnXgwZYH7!kk3KD5hICJs!3MZOVsJ;W)M$LR|$F zEK6u=dyYZHb14#~3CM*2>j*>Bv?-MAF9X|T4i}G6RgpGn*09gMzbgSGJrbc0u|_1z zH*e<MWDjG!;5CC*c7v>X%$eee9CGP?cECrk7&~MXd3C+xar8$W<~jDvPy_fwy?|H7 zeZtS+phhd5?&7F5=ehs~X8e2_8nB*V@D5Gwf%y-B+1R%eQ4?Sr`Y=uF5(TRdTMg@? zE}tvdF4-rbmzcC$@aMT3TUhul&l^K`^!tHjBis=aQjo_|YO}H~WSL;clC=6|B$@tm z6#||Mx+vnv5yac@dMDcz^a16nN8cLGRD_75LAHp1N*3v@fs{~(rDSxapgvO<r(N95 zm7nEQ<yPF>v^roQzPn-M57a~r|0f+iQHa-3$GNNoW~}yMkp<)JAaaFQXOPd3x}R&F zk4xj7TkS;JUv&+Xc72aAyr~}>G;oC|`puoVTeB%Izl9Mpc5`r3tw6}rnHb_p%-bu7 z37k*53sdbbxT3?d3;Zp@3-8jqqQq{Vm!$Di5FG%H4Y&{ICJ^VAiYo9y1?J7QL{{bm zp!j7nXvul){aPfO%0uuJ<d-4VP5<~6k#b^_%c0Q8Z=4NpU_`qqh-nJ;MWmc)?tK+6 z2y48Dtc;*^U?u%8msTFegh9VD*9keB3AX<V&h_HHXA~>UD=`N{L>)B-{8qQJK=Qs& z4q7;UC_oS;i4BYPb=7m@o02I^ti3cdv*GSY0Xa8A*N%jKd{u6slfys~Pe7tr5Gr@5 zQ91EXByN@Tf^RP(h+GmxtcnSk;m$NRf+zGB93!X!-AMYN!^DLaw7f2)5{MQ!ukL%l z`ufJE|5(&AU<|+Bx%mQ#NKwSfdzn;m)K4FWX#wAq$gEKQV8g2R5fQKpl@YMAE*;9T zEucj4`YWyQ#y{vW%AQ3ouxphZG;YhC*SeWA*vOhkF>PetAT=}G*W)%Qvt&KJbJzvM zF)_yfwkbe4#kz;uRQmbKZisl$2!pJF$Tjcevnewt5FW&r=97WZk5K1FT0hVG;3Y-Y zCI!ghz<rC}`+HJH)Nh><Mp41LNuYINCMZ^FJe7?&Q$x1+9VSCpY`)V+EI;8JyhLzU z#->+bqC*|)TMVS8p#s)NyHxv+>-nl5wn``FyY@4O_$Ob8L`31#;@z;=<^+F|uskcs zhs{bVb+0^Yi?8e>yYeXqSp3D(qX}|D!$$_>N#~2C=AwFuzp8#!VH@C1-Ki4@!!7*o zjY>)i42@IkFk)2hhG5$_#%JDpUN=V#cWS`1T^%Wen=34*UJ*i1b+%ima$`rMnI`_r z8jjSU3(J?eGpwrxbHJ|5OS2mh#egvA(iEclYuC6b>BkGiH+uwykKP7c-iQFZnw>;| zsCHc6AR+xY6~(VK2_rWR!h4fuJWxJ&$hm=$#UkxTrE(Z?8<i1X^}=R(e|5cZeW;w+ z<9_A*m9!?c<#iJKC*d6C(GA~O)`Rc!eoa<z{zO0wOKLG7*fo&K&&S~y;ln48+}BP$ z^UPg~;TV<T8$LNb7&H4HoA8S^Rah&)&j}LfQ0Gt>>SGxalD8Jd<hYn=!kg_gn+R~Q zFyVI+s?3g5MfjO`<@}E0B~1gHvKdhBbwOTvaMDTa%6&z{imIwG1J5mfwx5gx)jj&y zMyO@uqxwODzpKql7{-!pj^OG<jt!KMnfg&lbx)>5yP?85@K51k+PnHGUV2>t?f8PR zQ8J@H2RB@k7-<7XosgP&vNiX{uP7?*)Fg$tGa$SoL#ySlXIoviacl>fRgFo{S&xTZ zC+)@6(o@s?*E1}Bz^fFZIkj{4Q|l*O?{3guBKiNQBr*!)X;nzjqWD$4Va)tKwUj?F z*RE!M682u%DD!iONDbpOm0jH(07r9#D&#=5Rq4~YMMk1i=kkyKuOGnRhK}uL8E?0} z1S3K1_2s<f{EUtKkhiN!g)P5urY$FP);hT)L59bor;RrFr>|wUo!bIxcS6B`S?YQQ znvk<!&VAI8Jd|zGxjI6gNNU+~6G&y`TDR;o%+U?2&UQ%ts@zZ0TS%Y#1Jo8ae~kYY zQ{*O36F+ZrWZztJxo>heKXwClyjZhzx^47XQG18e#^A?EV5QP`&Vn}k8z}31yzTcw z5WYnaQy%;<CS-nlF!DQftJm-bQ_SvXon)e*DZW~#bJY)Y{ATj@7}vFBuUAgYKw!gm zkxKx&@w?`py4Ai7M?PI|2f$?Cc5)>_YSHG&)OES8i$HMIrnjFqe(H7cqVooxR)rC_ zocE`<#ZG_6<`m#Nkz4s2Et6Nl{eBp@joWoOBe&(dyb0x|(`tr0YW4ay{ceVk^HF!n z=d%+^cR!v33Q-<wy4{bi=Mi+b--2s+^#K=7yF6iXc%8t2iYIGcfY}|57qXgefCHT= zXWgl<>BejtL6)<A${^3oX#1Hsd6<7k&805Dp3Qj<A*(QC{@Lps*EPU-4)Hi>2D{;^ zgO11T<`90m)9g+MN~xxH(o;Ap74Y|QbYS4C8_(+w0-)7ld^#qp$LDY->xl1dCu*~$ z5bszwf&GIMbO?1Eu&guZFm=ecww~0D^%mnS*n-Z$unCIA2rE3z6LYx3QJw3nJ5g*? zo1R6bSko{6nPeZTu}LS=$ETdoZgQ?&4*6mAlQqP2zHO3qY&!`i0`U|NqDLs9+d`?w zx$(OxT5VfD0c7(HLYQl1ILTYa^W%(!zL-*t^9P5Fw^CIr;3Dc6e7;_SeAl>4))V~E zd94wqJr@Fgcx39Jx?K{-uVYxK=Efyn+(^-lI%@Hn4Nef}J;H;@l?OXy(U#n6b3?mX z*jF(U+z9=lllphHeyDQ%ttXt3nnXE<#}u#wh>cvWHL-50_~Q^bbC&F|(pQ1Pcht*A zx1kqf&ZXc1P*DGHcdh+Cw~_^RMo@>Is~3&Y!>cX^!;_S#2JlMO3J<c)6%F}<xBXQM zJ8LH#-fQIhz<~GHkIw6}C~p8j8Sf7?(jVY35m!Rt8}6H9kdKq8rJ20wg!9}s=pdoj zyn4c2%l$z}WCu_kNJ+F;Gug-FLjRjj4dcvf!kLr;K;{rk%h;Crt>-;<v9%mUdRn4= zlGB6H?Ur5D8I<9`aZcO))&%;-V}C0+2V6EwlEm@YKDg1rUZbYG2eomT^a9rn1!&Dj zHW-cD$Q^x~=T%$a97>f<Wz01v1@zGH;(C0cVYKs*Qk`F`vPN6}=D@7<3lv3ci0}u! zM`v^Z3{G)MWQ!D`W71^L+n-$QSy)>|`BrU#qvb~T9<+pb9Q<^|O!t?gsA5gLn#bfQ zG>3d07&LfYCnWo`a<CnVhWMWM3EG$Y5^-1LrmI;sKa7KCrATPqc5()B(>O4t5$ia- zo8Oaz-i(hIQ|%&sZ&OdmCB2VYPsnFr9+H0nJTwOBs^9T)4{bTqe0qKU;d~Ru(32qN z^}=DvwtB^f4e&)O)@Ep~)}8NrsTg)TC;Cy!yVTxwxVcS~IIfZWqgNa|oyZJB*;0s< zWbb286Kk<nZc@(TR6!Gya*mFbX!{m8Q6n266b=Z;tt@!wjJR{of>HO5gIO^g_#;9K z$oGDf;W<#jj~+*FEzNVM(=^O~j~{{H@x04|8%yo8F(_*%Nk89oNov{+V(YRvsqiMF zEHu~u>6mP`{a|#rM473lqiWtKO;qMQh(K2lt!8g<ScK`_etkNFa&|Ho!H0UZES6-P zm@?;E3kZxwpo!C&%?9?gC|WsD4Zs=!a5@{DjRON~DxajwnzqLIZ4F1ya_P;q45qZz z?cY+)d1(exSnLO<tTlo2GEhH+w3t_v3`-(v1+c4ObYxb#b*&a?fuRaus!u_pA<?j| z0`=nhdBP(3R1<CO{@Zez^Ml@3xpKG*7AU{D03#KEor;Fid4kIe^-944a<^k8xgAZx z52OL*da7BweJ~g31hfc=gidx6UQmh}Jxim9^22cIXPe5l%4DO{shpNv)prl=OKaK` z4_5X2g1T`8ObIzUlPFr4D9Pt(`q`Oyl5>NUxO+$(z>YKW^}9`-Wvu*2+i?lv9^JWF z{qN$xL@;-Zb>drtsvJSjDu^0+3Rbl0mEqfTQck?nPf#y~zoclk`S2ud;BZ;iMq(EJ zP7>YN--;;ANIE~xeK(|GA;i#f9@&i)LU{XynkvtHjYcHsPuiSO#n0@sfNvuk{5LyA z3?gL)A22J?MXF}5*tJT{^;}(oULmQlLrMJ;3o#_em#a0M<rU=mT4FqiX{i(XZZ4JX zjNPc2&y88|2d6)7DiRvP1Ww|r2_2lwDMy(p$H!3L&~%ycx3o`yr3Rr0qSg;<qq-;F z^SOk72`~jm&A_TbC})?!+4O1bxrxUNj?ZNZ28?R#u~_D6Zdy|~H~mG$I@)XrLM3OJ zLEXw13MAWxR|&+V{xJ5zrKu?pjlNeKGAGs#J0`cTASwG%L>W}Gzq1vJ-tgGYey3ks zpHvg>dWSh|=<m6S1anMhTYOe2Vi8vzUjYkA@0~i%CKG9_60Xsv!1G~6aaOH>(T&a> z1)y8lhASD=dlOyGF*Ye$L21LcvAK9V6OBD;Z2M&ncxI<&$7;<+aiI)*sir<aW}1In zr?HEzP9^4X=W2Bz!p7%ZG-k0zQKWp0$XRuEcUUjHTiq+LbdEVX&-`uslftVD!?hM~ zRPqP{Yi2gz^;U2wd1dkLpomf)#(_A=1YlGIX46Mfa)W3N=<yFJkImHz#<(Q#v*WQb zhZdI!OQZzd)WoA6EuMzoy*sUDV?Ri@kqIBNbhSDj9ODyM%Cv@nuD;Z6l%(932IM)| z4TjOIF*c+ztfd-MEVSN@G4sCWR<x1o^&-G&p12BmWwI<BSEtxLoo}Aa^3{IF2BiD> z9-~dz{$xt{<%*JvYqx8vO1JscL7&JmaL6(1z%`^Q<k$+6y(PQR%d$0@{?j8aq1yL@ z2t9V%x5CrX$FRkuY?LQu{ZV>580ODKv%|+?>5Z!(p~HfNTd@KOT=6_sOe7~AD?_^u z$H1oH{fPaNL|3yENs%*<{PyO76Hp6-4p}{g5v|h|@w7VoOV;;gMykbS+g0`@Z=>&s zv)cW97f#QsZ>kGj54h&(f;dLrxom5V&B+zQc{2sGzT(K0pAZ=8>!I^x!()aE-15pk z+j?0!1TkrUbiAOdTdU=(Y1yspW$t@i?dN~9pBg7r<~`FO<{^A}%G6Ha2H5|zdhs&l zrdWIJv*QzGdI?a+&?Ah_(rC9UM;u5XPndzhyRRpb_<6#$5k+K^QP{<v4d|Y|A7|Sv zzAP$V_g5G`HXiiO<4Ox+m1QkRm>tD&o@<p&Dc^iZY49996vx3Rs-sHtCO;u5!5=#~ z&zWtRJgaHQ>6gPC_MK}e1}Koh6-gP0nQGi8({HqZPka3B&SHoQg*zwF4qc6}yXk1E zI2ErK6uy|IQx&->`gn-`_?k=shMf>kTN~KWqZfYt#6dxehn~I`bs+mEorKu4is$V% zX`?Wu(RMgk9M?WGb0qfcC=_(Cm{TVr`bd^%+x`1E%R#Y@luCp4FaY|VfRR7!GEhc3 zH|uu|nPB97<o%!$dBt{%GAF|UKXPGcru${<LYYtu-_~CXylr2iOHIlwX;*LFi2511 zQsGm^vYg?%91@gt)wiga5MflOi!0SI?ZlrSg0ky<zvnmT(5=-TUXa}ORsuL0)%7!S z^ooa8X&qfH{a;UzGynyJ3Ln_Jq%eusA24={A3wuNcSlPo$c@UCwYu6fX<wnYRWj_` z>XUgVuI7WYb?lRthJv_^h0B?XGiwxS4JQu{1}lOIE5h4Uq}ceXMOG)K-9<uI#yrgH zd@Bm7zydD74w=f&PV9Ye4~0%oi{DW@>^Es!C8>5pja<nezXNEZD2^%;BQBT!(~cyl z9aSu|cJaH_MALR|?-|zL)ut2eUKtWyj`K_F3J+j1Svh(`Owqz`SJ%n=VfbT{e1x2Q zK~J)z4oTd>%qAq#Ns?zO3R|eoSV^!cqmHCd6w29`VaLZGedVi-F$>gdL;|b%-l~GE zs4tR>V}Kg3Jj;6`VM#AUMjcv>=0ckaTV2}8Kb}G0oh*4)qt-1DPc~AjtDJC@r{wA} zA%lPPc%H9)dmd)WRah5<1D6xlt!PSA9P3f1*{aGX>L-RP-lH8EPPGrWycxnZ`aE1| zTsE;+$}P$&R;)eNdZL-rCr?Q~XlLi#CPY^=s{zh<JW6zB0{+V8Mkzg{RTf6UQB;LW zf0seIWDwxVZMX?(s>2^Tl77$1k1f7rNNwAnt~@4$gk??z!Bpl)H_gg4+ipT-O!y^; zL7VSA#l)3jbybjCqF9$<=n6D8F%Os|rkN}WrlnBAFIloIfzp3FfR1l=!+q>o6u&-L zv;%IIs=5nr8l#HN<w$@xf09(9ige%0(wD<l8W*YxeUZ$vs9EG_V_@jNkoAJ^N)V_s zvCp`lE%D5_ul-gu)G?~ucG*0w!Q5EHz?D3xvgNihqPVH%UE;KelyoRB;xlW|pop;Q zz4QQ2ehnsYcAW3jwC6|kmr+CaNFJnU%K=dLrVUp^{NuoktPeIG@AstKwFs&#K-YRY zxyL+;K8aIR2C48|$~Qsst;RUik!9_xkx0OQ_^O97waG|gA+U@~XdNj#x;Dv-tP}+b z9}o>zjeK#qYj#RMt$A0f#v2bxheq5+6Y#{WVt=tg)EL}g9nSx@{8w<8vNhehTrd*} zN<2Li;6)@W-by2_?*Q$tMl0JLky9~-o_AM$uw(8kY-hLIk^%|Ctap;Dzo-v^#-Jg! z)@*5xXw_P(QQ>{bc@-YImr43ErE}&{wq!QS@jLz>c)j|vWE)^saXedmE2)t!F@zIV z%AY$(T)-)5ti1T_LSzaXZdr?Tat(-K)!^w4St0$H(t&re^cNrUXeghW`1&m9+vp;Z zb|G~-(JY?X{h;#NMOSn7JAdU?R!?^k$Pt~q+~!@CtYm%?G?lm#d?BY8r8YAkRl@UC ziFU}2ul&f$j9~RQD4#ZQzjwZx^DRPf9Q;bt8(>NuLl&L3AK&^+qRu{>N+RHQ6`3gQ zhf^9=Szpsq(=I`Q)g)mo+&<j%>`|N+FwB_d74RJ1q?6&M>L?G34q&0RavOzyH5&j= z{RjCc*$#?Vx=W3hN&|;I5DCoV!W{V=Uy20nzH+@Q7aES<KS`Wmo9lY5Xz&<G&nDX? z2Cz&vtSGI2fII`wX0Z8$B|8Q~g<R`=&<bJH>4b)2;4cb&EQ<7Ov9!7Et|`lr!+&k` zD;;0SYoy(6k#;;@+7E`_9}O|rtyv~*z7}0y=|Sg9m`j6;_LJA-VeiQi@{G(wlFIPm z(FkD_vLE9ypY)!G`!s8IvQjcBzhoLxJHT37#$VU^DWt6InR@VZ4EkWw`e{h!eedKs zy2UbDb&L6La<umJW~~Mdr!k-XOZ)d7(2qaYKq|itc;?z*c;Ts>LSn0`+4g&>ao$P* z6(zC~;8{hziH$X#_cZ2Enb3DnNTE;l*+wC6Bpiae`L#3POoMoSA3%P5l%zwNdzDim zoNb{n>k{_R+_QC$y3e+uY}eN`rsV>V&J#qXLQ}8t9*RVx%I|}%PS>i09irB=SyP%e zUuO49GoKTzgHTfrd1FB~|7W)yP|4wjRw+x+Dl)rRWclmiy&aMeO(T`Ri!DmUQM;)~ zl0=NbKS{c``h~T@5#P$L8fS<h>W6$338h5C<s}lGS1SK2%ypOjtIGt9@uypi0@}X@ zd%yaCe+Tal0{2u%CM^e5m^Rz4p*C<qYbq^K<!jP}25R9DcXTcO&9qaa;-%GgF^q30 zccVvc&f9?%O0P5Nx3VL9OUr1!<5F>PJq+ORp;_K#%k<{s@LAl62T4?20~~EliTrQ8 z&SX@%n(nWMFhNkd3_Ksi<#Hu|!0SkWc%Mq**UY4TsUkr=k&`U|w1{O>oXXIK<>Ww$ zl>+MnDwdRm+}{tw)z;gnTYO=-m;wkjkLNW(s>8WQc^gcW!dTGDu!>Esnu#(rA~Zke z<J(cp<^~CBAF<(7pS~fho8uDzgK*y}78j`Kgm)_i)7Of-Q1-2=P{$d>{5fG7?@0<e z)EVDr+0S?@JE|{9GXK?p8ImuH#-4=k-`PTZKlJ9QBbeleo`!}HSz5a;v4YeKO~J}d zN5=xrwsbk7jZ$vzAUObLIR2t&-&x~L@m2)M@~mVwtpW~B+8x2p$tpDe16U0!@Iz;q z>!;E<OL<eqdF~0L18b~lGV0hyAkIaJqnIR6)qQQQYQ!XXzwR1mYYbSFDtS`yCy%f3 zY|v>c!G-K6nqBP#eFRxT3ws8A2iZ9qi+Pr1{&xq-wUHHp1@Ux`3D~rtYNk^xz8EN| zfAx6E9PF<8vK|I!t0Y}~LV^k@7S#wXTK%al*hJk>0PGGp8bDDFT`8#=w*T`JxYz(_ zVSZ^Gn{#daldAVJEo>PBl5#yZt1S8#hbC%8BGvNFA0k?RKP1CdNV{i{Y1vWocVPFh zYN?EOIRfF0e@jTh2&=h?ycNyC0lB+!#c{yP8{?;zV9m}?EZQ{RPUe-aky0qpo4Db; z#?Uj!t}vExXs04K;gQT0;fji~J)H1ckFI*e2LSs>xl$Am-GX*MTgPCR(9|gs7TuPT zvx`1ujS9#N182sP0gMm1JGL$CH!ce083VOgLtN^umHSW)z&_#Qa}{e!@mp=c?!~}= zuKxe6?B0ANahU1k=wqz~!P9Z>jk^49Wrq02AbSQj7RJ6aXVw`ZmzDp3!2b}zKN-<{ z|D7?N?6juLF<2M^p!N`S=BvuXyHq!87GK)4^?Ny^JK&WgqS=hBs<>*Jy4l;Oi6SnV zb~_9x6_oLiNoXaVF@(aSlkdy-;i)#G5__fF=xeC5VOWop3#(E|qU-j4#~6-=@h~k_ z%lsu-H{DUziy|tLb(UEHAQMGNLfKkQuKnQs;2v%AIS_nM_vAshXfwnm7m3=>ja5yn zQgB79<>A3wa~A#y6ZsSp3!oR1zn7{f-q1hU4E@)UIhnjPLHmcH!v@%;COXcNJKl1- zPNS-<8T0-GDC9dAL+h4SQeodwi>(H4%pcsbq9iRtiH2QNERh_I=+{b=QHZ8-T59($ zF@ZocTX=sq+)g`-rp}<^(IJY9kg*WjG(x9b$>(hTnK2|f_{=7wkM#Z?!D6<$i&!yx z4rF9mPK8KI@xO<Z0Qe^f6V&I*i@Xw@UQya)Qa-3&i!hZDsES>$(Jc~o&WT8==`C)j zOGc#TKZm@}R5uj5m@TK}s(0>EjvD5TT|!piOx8YHW9bwk)6@lQSNzbmv)7XAqL|Wu zmgJ0j%$dPob0N~YJ98m3&vOq{iC)Tmw6;u%O#bs?brp9oFSm?g$)+`pHO@g}7<Z>B zMuiv_BRZWB1B(G5Ojz3=H&sMhxe5Nn%g^b5Ks0|V5R*A*;ZsP!fMfX7sxjnW(K=Gj zF>9<k-JCdMDGc|JT!7pMaOG#}0;l6hl#8REhcq_SIRX~utLKs;ShpPL%!!f6sK~#n zQNw`|CRX-d?T~ru5i{B54S%(Gi+zr6%f`d2B%JXaK(}BAKvM`4IfPA;J6>XS^Uvb$ z`K?Ry(m~d_J{SXOZo2Jqdq>7p0FypgNtB<Z!)Vg&dZvwo0DdMevbrExd2zjELV0r1 zgL0F4w3akjx!~cY6DkpVYD(TcBrCw5luW;jl(x2zy{2QZqn>9LB18coRAnVW=90vH zJ=#8~(Te5oxy+y%yM3RYFPOezSND^AuTtJvnfj_mX)Bu?A0+dKBPq?T@48%WSPx1D zn|nYl^cq>z@Je<4tXtKrThwtI4dDpNM%kuHQHDwL6R2K)cm|QtNzFgt3k>z;fR~~1 za!zebvRZ1G$`=LVMa3qPa*5L9NcBT$lG;w$obD3rSpgZFQi;;IIS%+1fqTf)FDdl* zkcI#${$2{T5PWsk@=SLH^+jeC;s{2I-nP>OcoIzmiF2$|EtO(RWB9aX3dR`?GcY7O z1zeEUeudv5q4M`@ilkh9wEmi8q3~5RaWVkjygjp+Nxe3l6zqbJ@}}B4Mynd=tG`<6 zxGQ3yGOrv(Jy!VTsD0ax0Fm6_Q|?ralvdZ|pOOSQCfxoFkB3=JoXS-J)M{D$q_LMy zi}McWbI3cs_4gBP!YKw9AkK*l@mllt<zpi)$a;3Y{m-_RLXfoxStitfvB*D3AopDR zZ@vG)x>Bh5^EXR4otAFaHg)kuE(>l-{D)Ba{7>W;YQwtd?x|6*M;yeebZjJ}86!)l zc}WT4@0vFJbeSuinYr&nU4N<-_;9{RfV922Qe;)Q!Q?|H{>M))^loeY=hFp3P2RvY zK{cCFndl-kP<ieb_9v(ac{H=pPsd2z7|hD95NyFPvHoWot*D5cRyOJ-CgjIW<Ki#4 zYX00i(r|fHLyo`BKUV(MiBPG~XijA^q#)ivva93<gWt}t-9_h5j0dBNq_7uI4duTh z{R!ro`p{J`R?e?jz=qPZd0nSuWhoCV?J?}XMZCD8!Jo?e4;a^S!zim;?x;0e?(a4a zGoHzzwS1e(`czd(fI1jhQ<Z;(cAV+F+EiP0YV?~+7@ggpW6u6kK-*%s;M>}J4;iH< zp&Z}vm=t5i&pP%po|?b)XYQT5%vAjsK6WC?Oxa15wGn@I=v1wpsyt-EA%)+nY%LoL zMBm_(Q)b}F3XLN+56Q|^#mnKQg0)SCyMvLMczt(fmySj`I2ix+0(*&&)Qgt~zBm1{ zsb;(KJV38I&3v#Rnz7~!D~<tTTQ8NjK_V~3gUUcElPJ}AD=4}$rZC(>k^z_{^wTEb zX_vzv=|qIAO06RflSQO>v)&ID3R$-8{DdR0X7a5mSqU;JsHr5W=YQ3iXx5;qQZ2|d zQES~Rc{UMQMz7mzOy<*|Ys=zjZn00*Qh(85Wro%!a|#?+ahPA6oiWi~HCI^${6?OU z63*QVC#C!q0kV8Mkp#KPrO-)VQ|J@~w7-4M6Az%)8%8+gi|E^U<6(wvsbU@KTnHu2 z&!}>@I$dSnQv|1&kkI#;?+5v=!R~fuarzKxL}Bfja2I|^G`57#u)$&tWdzNR0mxTX zip#Au2><(RR%Ad3U>@qI&>`rZ-<l&lPhH<5m~=|hB(l1ob-p{AVtrmOf2O+jlMV6W zNa17Gz=rZjWtuhVbwaXJnDgTAA`=`{OY*0sw*QT|b2f@ua$DPH796d49(<W(H5zF0 zX~sBdb|%Pb4VT^~Ys`25Flo7Lcz(L)pU|uVLp|FbRPItr;pi*!jHQRnj%N7j*RlYT zU?y@rwKSTwr5jGh4>V{h1wA(B7m=!JTZ`VaeH%MV0fgSD`&{H`k}Kxk!C=^vw!ZC4 z==1>K`m3TArea>F%&IDyWBQ%usojO>cmxo8aQ1~9o=lrwBZ5-B4M%E1HCh{y0rdww zZwHa3o)#%pBt#RbF0Y~uoffalpY#-idq`1*UD;kMa}ycXOZ8TtIx=~KS;HjOj+!bY z4@eOfW^HPuoX0zK3ZtoH7-oswe#T}pCmZVLwG_7GYSJ=QpyyyR|BiHWHvd}$HI!b< zebYYD|EwpIAOD*aCVZlcT;><+ezK(wa|RGxsEx5D!d=MITB`lxdtp)NBLXnXEa;JF zPPN6lGxMI(rI@AFLM9}Qg7QJ|`5zMvm-1N){0BMEo2Ulu+F2;o_hX8~fDyvu9Oy_f zzs24TZmE$~z3SIU$59%b1>P)A#yIyR%fA6A3IrNY>89BgH}pR}Mn=Hv$9WfAD#@ry zD)z&1cKX)VN#;rR@Z}V-n|ku>fZ@!FI05wmb=AYM0R|7`pcI!?ZR{5#8iFgV+QfOT z4oezs3lb6y(Pj+#jU@DHY9qXUq`6<Av%{Mac#xQU|G+rC`79&Ui6ZrTCj+xbCQ7R# z%PS`;$12B4-;Ii?c7@?GopuNDlhRZ)Fw;_IKOj+9av8ypX*F@?KW4RtndiX2KnsJ* zc6YZCJf7~(eqEo;LKaKLXGP!1j<W6-i7;}%=&+F{mPwffgW?6O!88V}bzsc<yXi|8 zSjjBX(csAC7QcT7IC{5ul{cOD(`#)f-|d*3d`M>hDZJ3Yl|8NTcU`1|3WxL<kHBVM zG9-kT^OT7U4<^<$L4Pqh&H={t^CT-z9M=}6Fq{tHep`-Yz@JrK>aKkMMJuM_u`IU8 zsmXSAQAlK+MOXH91kpAnj~q&nhLV`R^LV^<%9a63<tVE`#cL2Byqr*ep0PD7fXmyI zsDa0r`DK;U(Hh~8Nok5d7!<t%vw!_G6-9*};(@AqT!DzQkshV>xaovzSDcLP{W*8R z+u+ycT|evfm0Q2lQp4=_P22GT@95Hu8S5bO@^rc0ZtBJ4N$7J=;*5=B)QOZW-V_2b zC{%3kXb;i-VP1~mRMSnD)uV#M#Ts*NoINVAFzl2(a43G<+T4G|`_(AV(CNsuM#NRW zqm6h<{c?H3FYH@N966MLdhROt7_VGirSIyj-0?P-PBZcS3;6kvPxFS_#82a6{P&1& zZ)FusoSAEM-wEiwz$*5#r`c85z4Te~d4#p5_EPcy;|JVAp=;y+wxWTO0Q`ur$IVL- z06@``=sUm|>q%s6DxybCt+sRTp@YpMj<iZEXPUN1FB>(ptV^(Br_?z@p{DR-LeT=y zGJAuj@lTZMF7Ecb4HRo1E*`(Nxo{TvTNa%cMK)VwtUidcw#`zd;=d0Yq1fa!bN^Om zJ1ASfXwKZ*VwN*Eofyp`(x)?NG-1N%*cu^Y^Mtr7a+v0;^I3D0#rHUM?VveoWt&7! z$8@?)LrT4WCMFYK=apl1C2M(*@sWl0jXlcQ|B;XRDH@UQ{NMd$WnZ{6Y9<?;fFUVy z;7|hMD|g`MN92d2tRQW(&5^eaMaD8z#rEI(S1L8!tPYgk7;NFar>#d!vZxd>GMTX3 zx-88(IjQ99nbtlXK7wgRuR%NXfhn_Jp=f!~;`tww8dqst{EsuM#+iJ{No#M?B&e<0 zM|zBZs&of7)D%wIQefH|JQT3OR($_C#XIFc^)h5CJc|$tmO==cKY*VV_<50(M?DWO zs6#apytkw2ICKG8UWBPM84PRx71t9lx9IGn#ki4l1hdL{wRno`aV9Vo*&rIOzPpU3 zoqc+VseW`=gfHLbz=W7mW-*92s;zyAE{ghF+w?5{iEtSq8>Z*H+H`42Mj%q&)X!oh z#3;3<9JzS%@9SHjVRezE8F|a-JHVwF1VV)Y;0bG)m)ScNrj1S~SPK74MG)2Jlz^(^ znRRR?+q_|pw!rf?RS|-G&|~MXU!eK_0YgPH4@KpqIxDx{_5eQKq1dcOPusSbXaPiT zWdd{Ru#n~>LuA>0`27P;AW!JOXIC+!v8ED>7Duo{>z^QI8NoC1kXaMws-Vhe69xVy zr5_xM+4vE|->L!sY9~E+^+`77hkZb=&4+$GJrw$)oZ&V<b`1vuZg&Ak-46+A{R>1l z32E2$2n9AK3$(zf7B(upeE)yIv7~$LWUX7eJ2>hRqm1jdsF^?99ZwTXB+TCqW31v8 z48ErHeL!LY^bvksKYi@tVUP+1fqMAQzeD=r*9#41)x(rOS65CzrTbEUI7*v2aoerM zw+g{5xq3s2=cOG0DrA%xP$-`mRb^(JfZYReCp3*;z@9hFfM;bf)=9GE`?2Pz%4{$f zd&4`$c(#nqmGFdJDJaS<rAE^wz%YH3TitMQ2<W=`j_-ta*bnKu+sE;p#%MjuNZ1)3 z)Qet3u*nyKd9Pud*~JH3+i0PDD`<XwR&d!a7uL6hnFzf=Iqj(w{zHni=a8a>Td5-q z0r~o?dZ5KqR92vKs^sRYM~7*p_F<rr2V~z$w?$Cz2J|@`oZAKZ5_PfpOM}U}lx{~A zActJ-g07~g|H2BSq`+Vnh0O3?ym#u2m8X?%K3eV~&u=Ea|BPWb)Ck_RW>|Z)kkYPR zbXUa^uLGMijd(i_qjq&zY`)1vl(0)M6YlW(TTH9US=HFSx8D62X1p%J(cOG3c*O^H z>;>YPFl_~>LZguVP)~2RW|@|wzG<rfoMYVHU$|<is@AXDtSaIYu6c22;TbT-lp{Ii zE3Y!_pMdbe`fDBN;SxS!sA?9C)}#}n)MS{jy6ZO&AP5tvs8&I5lo1R51NNz7WF+?T zxQR|hG^mYWE(+fF2X_Mdv&mYLzCV(jDm3-T<FrJht@2MNQtBVy-V^=;LU8fh43<|} zeK;`Ze_<#Z)ju%3nb9)>(-jB;E~b;=g^WsG?M0eeWW{pCJa6*`5llps-GS|Eik69$ z@aqO0H8sm}q>iNz5rvG8uHHiLc5P;*W6gELh46C>g$D}2z^s*v=8a`|6?aiogLL|H zY)&2M#dicw9zTe0yz+T~b8m^yZl}xkQmZkwp)>RB4#iAM`;Vq24CUO3#?0h6IYYeg z>B`$p6{N{M^+mR`ypcSwwc18qvg581(8K!&r~#kos3+zTBq0+HrWeTDp1O=)u{Ief zBUVUCy2FcVQ#CcJkZUNd6iR&MOPsug{sD7ADz(DT{_~Wmrw^bP$TzwLBP*2_C0H;` zd62sIlGWZp1*82jvNF7Y==oDNrHA;ja-0PE+ye}AeS3BtHWmg6HvyeKO`0@wq7qZ} zec!+td7gb6l=C>7vi3aZH^!fFe1#VBa#=jv3Hq~~K>cc?$rUB|;YQ%yfD7`{iqG0@ zkS#fDMf*qjn+f3i`87FHhIuOd7rv9<`<4iAkL&nO{ke6k@7PXolQ~+`56;{}?sGXE z?ROp1I$IdGYj-2ER(3BnYdIG^bldlBz7AUuJ-h!{1G-^LPWv9sxH1}b&hsw@_fL=Q zR;$UAhs-Ef9m^HKJ9y-pFYb)j^|F0+y|Q~c9~s`~U#bB@__zg{b_sX`J8q&h2xI{H z+;3l?nZx~7<0dFe^WLMq^=y}gSliIx3U`hoNo#n9z4rwk<fG#@OK6T#=e1+`o!=B4 z4Z5E814J*&AXG;{ntc@R@u<`&Rx$^CJX@TU*e(fApdnv;o3NcucnJxHd(>S{hU1b% zxjQGf+6KIxr>)fVv|8&M-Cv|yud+6+gZkL*hoi~)-<A@ZUfz70e2Sci-W#X;P`<+w zkGXnfbN552{0pi7_+!C<1Fbc!lswt-eO#dzLN<a#x}003=4ojRQO@Yf_){MA;sX+U z0*iHce`CFHe-!JSA*?~of!tV>D;dC_st?E9Y5!D6o5q+v44H3+6#n0bjn`zpX`0cm zUWk~0_V)Qiw@2D-jpMz1Gm}G_Z4*;;*SEui8=EasloqwZ$}L+KU5KBWpk5_jTo-76 z|K^?Uu~-HMKIXyhy&Hb~S!;RlN))6lR?Z1zNXLMDfEu<2wI0ZGT)(NS+zfbOs=wH_ zdG5IPi4%_^y592ncu&Dbok8iNZrPg`A<OAkp_qr8HPsy>5%bVn&2T>ao1y14-h<;} zd#fShI6Tv~Y2mfi!dMkrybeYBwNeWp%<kJ>{X}DdY4IKW)j8*-hna>riS$P@%g7?1 zC#4z$1hlja!P%Y<d(+V>J39bq(r{u%)+L;PSlXeO6-+^)hf#o^RWSNQUlsBsH1BC1 z+eKaym)Naif1Cc=jXPT1Ins&$mmldoa_g(f>|Yc389OY0ckDDHWnT!NZJ-J&d)b)C zQeRu_hr8Ip?&TO>_7i2tZ-Z62Xi_Jf06v@T+hR`OKp67Twmzd@GbdmZ|2H&yUt_1K z@iq@zL3Fq?li@Zf9u_M311`wNjQGfgrNm&O+td?jpNv0do{RM_$Q=skUvA@*<K6@5 zq%Bw3JLXTFGxtFSe{iGD3}!sUczn&SREmW;qxfXqlDH$SiY*3*|AxKr0awFhu+2)3 zj1l9PXYTqAR=-aIe(7zAF;OqQEEls9XO9+$e<zD49YzK?>Nz!guVuc}RKDcxh3Tc8 zfkl^dXIp2|%@9&HknCK23~9KE>FvQd`*5^fR7|<kxM^<Rkk_Z*X2<yGmR)sgm0?4G z0c@pc#;3(*OESS?%FfHwvNU|F+GB6M1#~{n>eETSDK#Ykpgnna8+|tuwWqgVwKW*L z#*en~%khE4MFC&~8Yg8_>mqa$3o<?r_lxV>ckMZ`_!e8QhgQ0;SI<N~e@~V>t8*@h z=bwK;u8NYl_&N(GB&bmN2hkuH%igkaaQymteDnsm{GA>Bs=yaNz;5@U-M&aV`U21n zZ#J$Jm;{mnHqn)zmP9MtsLj{8S@>Wm#2tyr4Q*9hd~N@!>#zFo2+9we+&`>r8I20F zU~`<mt=;llduT<7{_y3AJ~~~bTlKoPP`5sR($3DP2YS56KPqkW3eMh}+|ti7v@tMT zh-SOt4I@AD^)2D(B^@b2&TeY{;jFTsxtSQRB9KQ1MEEe&88IcWZ-2QjxPA4VeHP?S ztlnni@@$ZEv^Ivyp1bygbGm5iFp#P`#+B6JN}^DJfVi;Jq$@oR&@3gVk6aoJr5&^y zGT)MHIai05ji{fuq$`^_VHo*AyU6C3>vu-P39<1jd`q<bwg&ay&FyeSXF}jYQ=kto z`86OMaHmMsw}|kdFX$74PoA*qX49G9e*JYk31nyE)P>CwH|=$}zAYvzYVnxGkef=M z;WLmP-Knz0X2dt`w|u(`adHvg-m~0s-MA4BIvrn=+}7TheN$sDuXtS1P7+#m80{-W zW#_?7fA>sSN|k+fg(mXqq2WtAL(rcpo?^-b!27UYXP@^>xpmkK)4i(mF>o`pS+!S1 zgX7_<fQ?qSa=_v~0H-^!U*z}I`di2FEgj1H6DktWh)2=pU<;<TE+XpRg~O|hr}*qy z9JwxQ8y1tUqN-Fo9spyn$GB+~Cowwk8__R$Q-M-i1}h%3l1I~8FN=Y$9bAq_pi4CX zPTn~x1Y4d)R9%njajq=BtNVqR$YopSGk}$|Gcx$oiqVx<j$#ZCt3L%93!=WPB^Xz* z)}b-1J4+fPIg5W*??v(Lny(seoYc`h2U=*t6HI8JT=2UetXlS(ire_;A1x*LDVQxc zE_6rJUap!&nWqgW+3kc%i6_$WNOxzz01#|b&z-y-5_G$tR!5QRHfG@;u<5Yoge-SU zoGn>SH`aelMF<BI>Kg6_k*țCkAoNpAQd{-nDsj)|cU(M%h&}rcrR<B#4@&dU6 zg4;y<C;a#O_}j!maUo)k(lNDOc7JPLabJ+bGxAy#o0OM~RG3NZzC`oAux2!X1R$Ix zrIIJr_kDQndFoGlm!{IRVn^e0V`A+0xxthV3(e@lxDeudQ&pELWjX&U+%?q6<gR5l zu5P4Rhz=+>itojpO7VYkZ(qkl;WlE;-WAZobI(NdMB=SFVbhqE%YL&6z~eF)Ovdtm z&|@6Sz9>E*3cruHKfqz1zx2QXN+BVfv2x?j+dU<+g%|in=lr1ILax^#9&qHP>@Y1X z-v7J=3mw13^q0%1oo9v7iC<KK8*nZW(8$uLTuj57yUdqkkS^g2l+spIB>1FQb<`Lw zM)^)<3%2Co(DU0F<#vh>bzX(R<5`hg+uI`tA6^@i?CAjX^ZmI@P}orcRh9F=Mx!rs zXw^z$&rvn0*0JgWx!?ThtE|ILev?UNcXRv|EZWED@hU~o!QKL<TOl3|a~UGJn*H4N zzQhu{O7nt7g_;SUu05X;!~i9Y9qUF=jWoWz3^@`OT+7O98&1LJe=_X;j`d$_-6h^D zl_fEIhO?Pp%RbEjz}?9P3h4TNl^y-+2~Xqd+nVQ(i1wd2M4uKs|HZB)`HlSw=me7= zF8h|^-3iMkbL~*lPHoWWCDFYWlu8z!*W$P>>}rbzAsckD`D!LR$dN<vM$wTqvDNWx zMRvS=pOl21Qp^)aGix4K0^Rtv$*+g0ID|dS-Ug`v5x|<DBZ~L<ZWvV4Nja~kYI`o@ zMWmHKYiY|{;+NuE&?YV^X6h@$+;&0GNR>`ucWz~>jVy*LZM}*y5WRtJtDxAz7n?mE zvnHQq_5f8+5WJaI2W5OUXT7@Aa!?O!_EGS#@XtOYu&O&qiI+#%tkaX8YgqJ<(-aNp zrG{B~0pMv(MHE%~X7^M+9w3-Cv8!({y-;&x3%3Q{kQ3H?6m`_C$Jrehh+~XJ%*U`R zWo2B%5rSOU2q^;T5K~7tu~Udoc;^Nx8&_8|d1wwHmNAWb{1J_=Le)(&`Tj@IIT2yt zyyh+{k{5NM-#g|>>-J}_YYFZ_`N3_nBQ*;^=sVnz7R{<p)0+QOW;XJb$#*#+%KQ0p z>~dMH=Ud#NDi@71gI_zSZ6)b83*yh~HQdf3Jb(3HZj-3;+8u`ue3oNakgmZ6e7vkq z!cUzab(V&cRINO~(BT<QD%nV4Vf$On1hR$p);!Z3l+gJ;j58r^8pwJxC(_-00p}fn zTg*w-JzAG$DylDX8Q#rZYOCwJnOE^}`<}oj>I5|j7(Ha9nduMgSGGLt2}%-rcKmkf zbiOZ##_vx0V!fFw!s(=EN&|tTAil(=+4}Ti<C6`rc`MsrLO4hhOF>zhbJLwpXmSf| z%mVRMnl`Ho>usL_>pF0Lyv3IrFg={*0Xu2+G*9>-hnN8*02w(VQ`6?`IGJn<c8vib z9NfyP4-|y;Vd-2>V7SpW)gyWblkVO|%8uG3)6^-JIL+RO1D0{)`a^T3L9sOtaR&Fe zZ9gz-9S&p7C-n0>#pS-5>>}siR+(N%uQwOqx;kIe_BLi*oM0NiQURK=lQ-yRxn^qp zprOEi*eCGQuh**CPUQAr#*E_4W_Gj;j3zyeFj+Wq<nCbj>o?!jU!~Jr5p`XJ`KX!4 z)tXdzZ0*jD-$nbqKY{trU=@PwR$IP}opHhu=g%_vebr=_2OUP`1@u^c{ixC8s>8N0 zUG|ph6O4cTDA*qWXc}Ct=5(hai;D(M^><(;n%kL;Du}1TOryyFc5bYsam)2szl>qu z(VU)g^&DBUoI9*Is@iFIYCLH8hA+`gX@=$#iz58n9D#hKUsv}AXexBA|DT*2Vvkmz zg!dwn!M>?ut?n0(Cd+hXt$Tw0QN>pT@aCr8$t~`NyN`tZKlVW#1+44s!%`unpFNX6 ze%X`1Cx6!LFUPwK>NCEx5pZlQs+vwtA46Q!Cs&fMz#yi`)&6dDEkrxrRGl$V`>lQH zYdYyK(mh;7{EH*aqiuK#Sv>>LS275?!0d+ZGW>~Qd)zWU^E31387{sunrjATu_udS zduOVqe?dwf!heqIC?Jb=foTdhx{a|Z-FJZf_AE)H^$CZ8JSxNKQ70+`2`u1~2EzjQ z2b27G6KC9Q^j7tO_>ryXA5%wb8R=u)qrzg!V?|Qo3-paycAL~e()Ov7;{yiZy?*Pe z1b%zSUmSiyU(D35xrc~La6KRoA)CHUShQVUcZ}}oZl_4)9;YqvJ^5(Djx1nji?2$G zhodN>-arb7v~M_NT7XmA{#m)|E#d?qEE;VfnzC#;v*8%l)7wOm&NfL==(#0#4Rk;` z60L7ibR;ZF95U|zCC4X%6D*R5RXG1V4+*g+`BR|FAMd^0^Udli33rdmN%<r7<ja5N z@gIL64ETeaf(3%tt_F4z(trjrOwfa<%f8D4aF`4Hl;FqGALqzv4Q@Frz>*OblXf~< zCcpgr-()}u*>G#{Cy(SWiUe&HemWv%>Db9{+puD`D93fbXEpCR(o#e9_?msTSZbwh zP5v;2y+!yR(kX<0sX?^<G-|W3&F!u*dFbLayvrS2$<lNanX<xn>@wP|*jawCvv?)K zIqLiW=?eQNuSPEf_U`QCA@%i935a#g+-(jV9gAwRU}NL}CnKf5(CokDAD#zd*|RkN z`=svk$tMHJ2WUbz4{dT|X#RTDyJCt(_>aE`@y_s9EYCqD#hP<&)hjjfe5~pb`H5?` zeezkRQ=gBw@NN<174xD4?@>AB-3@VxgX7BBIrDi*%K^#GiQi$Jc}tT_;2~Su4KR6g zy75h}LUs)i5_G0C*`rmbzSOE-rd_j49(uEmnT=12(m(t516`3`!}z2q=e}YV@zfVE zgm>_uDz2%NfcqCCs(U<){CbURQWi{6_<*Fdu#e+cs=2ry9^iJg_x75sDkw`!b*W~F zq#o*u?VQO{SVJ}k)oPN^v*TLeZVAt{a*5H-&@yh{n_ZBe;9SNEv!+_FcWs3}0cAE5 zMNFO%LH+M^|4aJ8liSZbhW!(W)L#1U@0b8P-@ov|EKXe@u3U)9-cvJp(d1N_8z)dv zr2$FU46lNYG5huvlN2zUzA<BY5IiL~W@1y6;bfsIV%bPm8G%DC@0`p;StATAtb62} zmA{w@p<;%MPVf6bCHv0VE<|U=yAr2zGcp8>Dt#AI{f$*jF;%c^MX_$sm-?+m{_B^N zcq=FotsC$){P-Vl0S5Ki<piY^i?-4hd{9nz+M)^5gh84e+zW_LBHJGZRe30`w%Oz6 zxBVf}Kdax8iT$JDm~fWGvHwp~K#C%Y%>G~}y3D15&5labCzyg53dI1>0S}0emO90M z8UjdU%mL?ysFl{~Rx)NAoYuKZHkqF$Ln!0XJ_&ibh4v3disK2nF$r7Y4&EikDzX@> zgypy-hYXAGyW>UWvdF~cYy(v-7xj#zk(;>X#WMijmX^pe7#0s#OW>3R+oVrJUU=b9 zHCz2Ca#PgPJ<mn8m6EhGu)J9Rc4QEm4F9^}j6wwyPLyw|Kuw87kgI&mYP!Av4@?8R zzcOU@fhtDQ=d+Im9mQy%sp}mCkDfM^k*9ZX$M~fGFeLnZmc^<6t1arOZ2nvC0G6vC z#{fA*`};m;sT^v0Dc>)+Rf@_rxm;S4qSnT5$DKnGHZEs;IcMhImd*)oS?X;zz18(w zAKB_d7wjn7vujIrx9i4ASaTWVBe_>@tF<Ik9Ed?;lcTUvn9D7BXge<fZ`uQ>3wjVO za*@}sjf)NgoFEIH%w~pLX~{0OS616L)nq#?E;Ha&NN6P9{E>YoH_v>Wtd-}s)R-U= zsInsU9d(xFe0!Lc>17=7y3#3CWYP~Emo14ft`3d|_SUH^;3;q7Pe@YgTqdpm1ZLDV zIB~^uWV+68uwj>rYsLcyw%3+7d@LoRqa@c}EI(yggec8BGi@tZHl|Jw{A{xvajm_a ze(#%CIbllNJLsqvT4?W0%mQC>?K|!9|4{GWWl#ijt<lQN`0f8u;lkjZ8RU#qCi@4v zrRrmw{Z#lL518K=G%x7`eUHKZ|4ZnHeR^;AhlapkUjclP2gnVRjD6}YtZM;8uz4hQ zV44NbFQ3^KYlSZV*l(ig+Vik^iVD2B(ukisHhh317<Z^Kggo5jmOd~rTI7{h!dWOL ztiDJfg^w3Hp#KJ&i>qbxpfSVLXbG4~5jA3C0@_hytvjWeonx(v&)BUN)n?MB!zy-+ z3^#Af%)cPXoP{=BkUKH^8m*nH<`)JM+d4Byp|P2++CiU(CL-f@Y^7>GB%3!<ljpOj zRI+Q&x3J1MWQ!Nq*Bm(h5pD@N9tihFBh^s>pa%0)WhmujEC~^xzljP9{P;AKkM#bF z+o^p!5qK45KVWtE^^w3zSjp?g>R>7#+gp%3_$s`FlV+iC0Q?Csm2Z*>1>+G__oy)* z-?3+XPrIU^iD3-OjOkspp;Z`zuojjttNY3>82>+}zB{g|rrY{aQB(vJML?>ehF+yt zML>!HsZs+-?-1#PBOoHuYv{fA-V+q1_g+J!Hz5!Rfk5EH=Y8+}?%z4TlRa~0_Fj9h z*=yc#s5&;#&(zK}*)$wTN-2uuOn#FZeq`~pvYqGbHcY5vA_SfM@~P<$Y3w0(cE?c} zB5E&@7}-krc5*23uHq${BTRWJuIH3IR9zG&1&(MpbQ4@m3W&|=zBx-K_-IYf!A!tQ zhbteoqUSw+38cvsO?J}CDcGHboR_}*L&CxG9a(bqYh%0%DK{WXen~GH<dz~CvD_Cb zMXJoErD-#c5-KazeL3GwdAG$_zkkuiT!ivp0XIjn&@MxZ^To;_sqT_qNBL4cx?NIs za(X?}$y;1vS}rO&r<E{0;`Gn*3zWwEXqYbg_RoYeOV%St{yfw?nj5T>JnO$ZDH=Wh zAWvAyFRrU12S_K2F0?Q=Re<TZ>A~jI(h)Xl*c53830ijgl8-q?c6~NGkJ)L9H+4S~ zHJVMf-ZC}h=QTRc+wqlGwF~>8o%-mExS1IoX-&5%?ZS(iMDraoZC=adPlyDup|xM& ztTb^VPi#z$XVo$m)!PMNNg;e*y_0H6WY6bafsiXfJV9<B-Wtdl@RU?!*KRvCJZRXv z{a<?Ml3!B%J&YgV%gwAtD)`Bk_e(^;U7nE&va{YSC60bo_9za}D)du!)p<|kx00Lk zCj~3E(F8!EICZ8l(`2z7w2$GBKV1^z?@6w9WTd<wvR+34QaSB*Ik!l1awJ?a8w7pZ zpTF$XM}3rFQyK2f7|mxVUz;HdxyGTL*R`A*v+|}wS8+r7xSG2^LnPSEXOgFhspPSW z>*eQtSQpjSI6*cv++xwdl?%);{KiS^mC4udJ?KZpw#M?)tx;cZ{&&~H6G5b6oN>=h z9tsme@_JMxlFNR4sUaJ%><d}ocw(~PWa*IB!|4ivr<m(~FxuTGga05Q6=Jkybv|>_ z!OT`HJY#yyr+c-8w-o84$P-`g_uKRHU#|7_Q%kw;YR&v7_TB@3I>-c4tUrA}yP4SG z_dEmg^xcp>2%7#+bMU`UKGvFZxdFl?68|}y@lc9{J|9koUW~cRMDFB1RuL<Z50--e zxI)UUnf#VTwO!B)Tw504E#}xMoU%>zR$9mF9{oUv=JO%CWv;c?*Ol%*9|{RsyZ&5# z;>#u|Ox0Mh8mBffe`WVE-<!;0vfu%i?<;|@arIr_>Kg@(|5_@~SQ&hi;W*{8(;s~~ zG1igyMNit-t|X3V46c!<eua+&kjis>{e+<n#$7DF|Niz)1DwPH%l_dofSpm)#Q0Rd z)$!_&6-@!XizaqP6N<m{BM&lBzPiJ{v+jWO?rLRaL$^T|NJ7Q#TMsl{*tB@KNEE>a zHfq(Y{vE$8f2cy{SmhOM>d2hX4RM*7%9BC?hP7PfWI-Hte{I9rU;@R;HdnL4*yIwU zvC+q+Fv`E~QsvpW?Dr9&=`XL>jWwSH{~zX3R_SVljI1BgwP!z@;xDD^`@-bvRZ?RS z|B+JFc0IT8Qa=hq2OY^G>()4}_Ztr<?emui9zudV+4@{prMiO0P8_>PWsl)5fusSi zGM`@sr5ndCKXL4nmb82iG%Dcdct*XTZsK@h5#c8WELXW3x~lzVc8)`suGX+PA2jJ5 z#*8S=kV43}DW}Xo$_v4N1d@hx4U>pl*{$#qHbZ6@DYgsjMm6f0_5UZr6yj+iN1Gu( z37g5azy7@Z3-#Dl{M$*u(pvA`j=r7$X|aD531W79^?;6_gbqh$3_E~NNPe%(y~wmA z#_YZe{`9<(FeU`uvptIRx#URIsH}s?>D^~Md$&aF8$Vxzo3dVpm*nqN1qG`bqlZJR zN<MEc&&4aTH)zH{U#%OjzcN1l<h0xG{I$mWhOFI}55-v}*J|d$4CsRKPt5R$yQ1EX z-((BWG<j0Fd5hl}&>(WkuaEvx-~UDdDt{rkbl)D)k{2OF$4SESoAwGu1$FB^Iz3$O zo5Vrgo9Ob@v=4you!#}j>okk~=c|_~)9;hrJ1Wd*vCbAjNazFhIi&+j=uXi<C_87i z-01If<aQ=g`g!rHd<JXqZV0M*mhcR+{pRv!m&W;DQwwTox@9Y9$tAKQ)O#{r$I>GA zMvb=@o12Z5X`YB#A{v!DkJ?R|Rc>yrdKmfGu*mXG8vq<Ao?dX=s>}k5XA#N|?S)<p zOFhs59U|xKLCTCJA0=kwXz4iqFIfEd^Oz`*OkQM{M+7u8botr2L!9=O5k7;dyV!Uc zKepOS(B<>>`~J{`&~&D2*Lh~w7K+k4!|r4}q{$tU4Q>0(Q+&5D;rr~{2?9_uW>5iM zC&$8Ufxv*CTOC9@9oHL)FDhV4{D@BL9sh8P0jE1VX8z7DFn+N0OOqeBQpI<s|0!vv zF%ArdZ5`bp72&8w>@C_Q;G5_>8tqZ8de`uW%b_i(uM*84y(#-c=xbia{in+Iua~Z2 zb#`3epK()A3%bb%0)qGkv_iy^*mqy0?WBn{o!CBR!LgmhHyM@nYhGhW^Yn~(Ndo=T z^1}!%yesx{>_R8{C@SHRYS8e1m+9{PMw@wPKz<vNd*?BC6J<sIz0^?=4k+tRlA1%o z<b2{?MvDz_;p=7-60l$G{<T>j-J23MU=>_IXB({e7HQN@?xP4#|G!Bym)ZB$TdF>x zRdbG7G66OpilwvZeD36LS44=t)9ieK`a0i$+{}0@_C?HS2dPd5yW~&$|C=P{+*E~y zS%ojLm1<6;xo)1f_g`p1!}<UJcau&2CnIP~G74^bg_IpA{31IxNnrFwXk1<jxVBF+ z(eI#bJgeKG`P1ytw{<h^9EZjXbH=4O_(XxOV18cqKhJ9(t;5#nXB$m=8{BreodU0u zDBpKJV;A0rt3=WUCf`auQy*Z|T3py)mNO;k5wB&B+~1tAw<)q_i`ApXMKJxZCDu3n zuTh9RqUvtsW4NFYb+oSicV}~&{&{@Wucp%$lD$jZIq9<M((-xzaz`p|n}tyglYr*5 zG7fbY&)08u?y<rD6dTcLo8>F`+2{pEJ^V(Vhfv5YJG$sKriU(f(Jx^8!Xu;qdCcc= zwW;e^tV}pnvjLhxc@<toLMs0EIFhCzJ>>EZ=T~TM*v@MCKu=ov^;UYPAJ_dG&iBiE zzG}>>=s(JmuC^5@i7xNd8qA0DU(uRcfvLD5ZI8ww)d<;R#U}=*L#!pJ62naS*LFit zN&pCS;XQnHufi*6JUMga+^Q^K4qG<&!KDC^n^*PaT6nA;xo9^>vkai5$9kE#{JS3c zr2Olq)G!~6nH~}a_gfoBdH;8ora4K#urwc5@_DkPub1ifw_Bw!SO<mGZ=Teb%pb4# zzr1P>3Vf0L&Jvu=Px<=Ff8a-9@+S}I1k*Nto%@(F%no88^Rw5daOYc9FKfr+|GwJf z@~>qzZ*@PZ-G%gn8aF4~+$KIA9nc9PE;sGOJ<&b*#7XhceV<~&^pY6-Q>sXFU2KVV z=&L48oqBh*8KF9o5Y3xUNhCcc%~Hh3v`oIO{5zE42Ui)?k_GPny7D*D@dI`D68(}? zR~A?5{BME|#F8dt8<eVAGq}jQFkP-o1isYH3DLnuuAw^8KK&POWb90%mMLYRW)d!` zE`bXjKD=?IJN2R=-9LZet_1e@f-QxH9W+XNhbpaXS@@p$$9kx3W>OqvQWPBjdpPH^ zvB+7nD3%BL-`#K*p7=MHxqh?s!7zK-QgGf|zE9s<Z<-saN!%UkO(Fe!JM?-p)7YHo zwsxI(XUy}P<1c@&T^I#VRZF8<Ui!@3%ci_?Gmb_5_wD%{v}QZx*2GmKN>4J<-sbm% zvjPgAWmickTC-i@6QXyDT>@$Tb@`Vk6(T@2i>kc;>uTWNu97|lx>@-!bITPd+6d<b zesaYZ%gH94L_Jg)F<(2Y!{jx}u*5OL4nrL5;@;fm4Cl_hj3r3ZZ9$@$&f}i~DpEDb z$_xb3cz?V=fUbF>a^0iR@h#2BD-->zb{VOYa&mvH_UDr~;fH@?kaYLeuH!p>$k6Pd z;<E=klv0<5!E;GSMNME=-|Drya%=?WCR2?$z82&muS|3qD%u50dC1zoQEj;=WX`zk zD0`CE&Ph^ZRELymaWL%rXcSqq%Yka1ntBoOLG3>UgFjcUQkULMJ*1T}np!UPkxX-; zY;~i1^0#Ot4*2Ka-J|Fih|%HeTTsReIVwT;t!yZ5iV{i7+&J{5-y6yp)Hstwn<eg8 zZ$0dy;cGB@ub<K(TH-gV#Mr=PNtJP*Ab|tLe$?>~*Y%I&qN(w`VWfkm9?zIA{@%l7 z_+UYB@JXWgsrB(Y5hmZsQ|S_<vH5bVT4RH9i#<wbh7$XuegA<D!yUILIWI}${)41N z6O+H+nfx)ITJ^=Gxx7oSYY4(nz%L}i@ZT_<3}#tAHn5o1>pI?SX0l?G0bEiQ;+KEY z{2h`QP$_aVvCApRcOggEC2{^&&G|>oWQb#zmUK*j?q|{vt5`UuP@n96aL;|r_E2$s zitD9l=Yk%8{6SWo)zRGoj)b#S!uu0qJBcfdPA!P~;Eu9{07cJ#mudKO^}TBg)BGz0 zHkYs=oW&FN(nKru*=UzH6#lliQa!!u9-d<n*H1XC|26g#lteULRK$Yh4xIlfDW8B7 zRpOX8;)kP?gy1d0OUinwAxLcq%Vq9%Spi{s%2$B%4n%?7!<Y|EhUowE(_aY1=lFe` zq|+$Xc>H8sn&Ql}t)v}!o1KD#JmD0j^ti-N-6{r~OSzFe&coSxi&!TezZ&Iz^Tj9G ziAd#?VTgqNKcU5PiBa+srm9;`L~L#XnT^Qq(qZ<s^x3W+kHZ#@KP6F1nWH96=(Gpb zTcmDma6URxN#N5miKCPIS>OH7Q%T?R!LfN%gWLKQ@cXZl9Gz|{h|yzSHbhL(uGR!J zN2hhhv!-xtxH9ofJ~t#4i+sO+pg_xHgE{~XKwnN7Aj{!S3)jlrcn2hKOU+g=N>wVk z)QIn?liTr|?#m4dHj%}GZ0*)`OQPgCVkwdlxnleMmXF4Hj+U@YO$T2?e}&Nfogg!+ z-=5Wp2fc-V(=&d+#FC`A@d5AAy|^&1_cDSBau`)#%4u^BtDtC$DD4ca;IK=vv<h2E z#%eI3^FAB$Y2{HKPa4EgvP_ERw*z3;{+MQ#_w5FK&2`o&oMp&X4PL@j>CV`;Rj2C# zXYBhMgl;XSJ3i_*m8p4x?=v`pFVB;7kMQ~#c4KV8kG4}Zpj(Xzjz-N_<b*$I#`Au! zm^XFbtChV(Srqe$?-a*A{>&F4m$K(%8TRwJ4%XnY_0*(s*L%-T?K1XxurK-HNZkIh zCX6qm`oOlo1!#FB^B+J#3lu*crg-8+L@(z+qglZZtR{5n`aUAlF9gSCM7jvyG9p$7 z({IP0JLQ#nt2jg~5%2?52ja~UJaoMtf7XjhnjQt-HlprsGgI2OaY*Z8zf>LajxG}M zx=SsrDV*=1#k9RW)%Y~1iv6oT&d%`LuUEVlxG``+4fwQJcT4-BEnpF`#pt{Q^BXy$ z^we9vBi_b8A7>|I|3oNOFZ^SCaTpPdk$U4*2Hr^U)dJhf2nmEOXE5r$eMVBua@R>& zPo@jL`<TmmL?vB{s@Uw|Ty*;6!h{+r;^X+P_~b-Fi*Ws5CWGDPe{2Z*j}831RSACK zDjMD3O(VciLXJO2x?4TN4R^^lxkb9+M2>O8aPVP9?je^_P=y_-20==ESiPJ!L6f-v zw!$1?=T^$SOvFsHjT3gt<x?4*wiuaby-|&3g$t8&@%KYf-{|5KkQNGzLiH(L(L*<? zKiavc1akYytW`pkZwonr{88u?Y*xNhiw8CfxMLWf%rIRO!K;Uh#Tyl^cB*M_IYtbw zKy|;l3Gm8gw0z+p@COq|eaT1xKO|9is--_fx?5$<dyA`|A6X`Bm=X5$9JkKZExTlT zsF4wD#5l{ZdySdSqi?|tWug1jQV++97;MCDToc@_tl#xECeSjcG20d0gUGysjne_| zAO_aY7@Zi{Y<`C|Gb@muW$ipISxEA4dQb>*B06p@SE`Iqb~e9YYcyP_Xr-Q5Ks`G# zWuxznM}0GQ*24|ZopwgA{Sq*GdWs0Au4|n~>)ITZO~%9Or%JsIoFcGIVn;?cQz9ME zQG8OJl=%dneBF2&ztR+}{_Y>$iZ6f&hpUj4$hKMv^dD;Xwi?YWK|}3VpTvqtKE#6` zUaBa?aa8rs=x)6@J1L=`b1goe`jc3_<$yTp+4ktKz}WgAb%Tn;_OH6V^wXdtP$g+Y z9A1oh8pue5yX81|#7VDFGUIEu=%o^`-{J{{=@0|%w2b`hHp|dPZ~WkT<E#h#soA12 zpMLwOQ@N63odJGf6>FBvl`xnVJ0mo-^$ySs*z#@kijEJrCSJGTPA<b(1&Mqdlvb&# zCbUb<e&dw0V^sKMPEQwK>OE%sf_Do9;e~uKRFy+pbh6V}U;4xC<?Fr@xm2PL>~so) z)8P{W!Gv-A-aX<JEKB_YUkTiUsc8shwcWq(2#NjtzUi&4+NvEMWj$-i6nm%Lr<|$x z$y2qSq|!fHcwymr=pvItNBD*KAN#^iVS(FvxO{}Q<Jo6<aw@40QzEfx5Zk#;kw$IN zO`XP>k@~CDZ%WST;~8c%9DIp|R~q#}b0N&lk9=izak_`05j5rn6BIxW|E~%PN-I-8 zD@oe7uSBBk+lMmWCUp#Qrcm|Nx*U(l&y6%^+87PY_z_dq7-WM`r@ioHr7v>9^=YtR z!Oq`?b${x1o1ec6#t!Y*^4SFhNYE_zg`6$ynRTHxuPs90jJh88CKGsj+f`4VmQvE` zt_)>W1Yg4xqLv8677L(3#bbU%o4c*$9}GXH1(hCx+OWv#!50hu#DAHcaL%heA1HsF zOjA%{{K)wIXZCkn!Pw3%<x@guU*JO0GF7=8L|&mC_i&9Nci!FL$k2oPdsFrxinXBk zNAEhr$x=bvdJ->O%mpT)ci}^^#7u{6IK#>KuJ#H-&bjdGxj>G`ce3ci>ksj~zd)zB z3catlqF-)^>4i-|Lj^&ExV=Ge<3CRP7$@%UEHRIdL#r_aPBK9d4dR3AW0l|Mp5nj+ zk^k4FOAjN%wgfgH*`U=m_X}mFn+{2LBduI^S+iuIsDoJ*_(K!$Lz6rETpO6@KxGb- z2LL_{?|#S%bj<ZU3A2Ei*2l^xhI`(DpVxk=dO_@(`huQw5-KzWKePnir-qk`rE`$8 z94O46nsK0M<ubiUTJKGrZ64$VX*%x#)RXe}uIAl3MkapF8{iPRnSVG<9FC(%{=g)q z{z6(OAxpsdBjcmK!g@*cVif#|Q``@WPYb$X&Wo1XK<gOzf)-+{X#8>74H%a>%gv5{ zVLVh}(?*>fjTw~pUV7`JeS)<r!H(0)?AK>OJRXDZ8J_)_W@m^KHj;tN=Ki?hcUW`w z8`%_K0(<6|Bh$liBqh)~sHv#a@_y5cCa029`pe;2I<Mbq1$9$}^?{0ScrS&FK=I_F z_za}y4Ry5TKS*}fXwty?eRnfUtIE7)Y;;D^pf0Oq$6W7MD_)T&&97d5Wa7zP3mBz) zCXqCLjvVHfwlEEcY8hB>YVk6iFi)KRVuhPt+@J;cxc%d0C2PlnW6sL<57fuy9E>W) z<wmv>;YVJm#?$4#a^@mOH*{_GoWa&x{gQ=om^|X#YMnT;k?YJE<!j(gzUsvm)1X^% z++lVXv5=X696fFfJM7QbIIkco|AENYHRmB~4;gVMj+N_~0n-|S@BQ15p>>!zvj*OL zGC&V!Q|8-q%<qMi=MN7!4=`Kkw9XSRCW5_#0Vh?q7uv5(@U+_fsH%=81+$e;IJNK} z-3bEmtU#rzgQP|4>K4y;Lfbj*0F(5~X(Z3Vy(0q<&#zsD!M<P8U3BgXg5?u=!d`Q| zt52&lZVFQt4~Sw}2seez9xQ$pr=E^~325ZeOHPPqd>sk4H1G&xh`78dxK>SOxxcl| zKIqaP^QsB^siqe|YEef89`or)m~E!F>nWk-8-d@uY&g#SpsyD|R+QH^<SH|5%yauV zPvf*&wcJNTebTEe8|N~d4^(PY?zpdug&}1L0v$`<Vp=F-bMTR)h+Dk))D{+yv}@~; zI98^0vmXvRUvW7sC_uCv^&Y3q+aXs+p$BgB!%_Hy?S`Mmd#&ZI6>}W+CFwT`FV0+8 z-*g8jfZ1@-2+>jcZ_)xMRbY``g5X14o#R<{tYq2k(~_ZylMUJmwYTg^ObB#zff#$< z1;-oi9T6q(;w{yMp~+2H0uso<JFq$JZlKB_^(#bfYB6@Nwn&}0*<tw3vpZ^`#sYN2 zo}PV4n}gA`SbgCEa0H05Z+F%EuH%JPBWjN7Q*aC|F`%mcXPlXn%CCA=EUpqou{wm- z;Pg|@d$_m<pIUO41`pYnES&6Ex2>M^D4vbU%PB=HTe3#6Y92Oi15(^L!goy+ix`Uc zw1dwz$sQt)f)5+OPxpBgX4R!mS|1(8`6_?=?k*Cq|IVsLttx`qLl@BbDoxVByvsD& zQa0>^usVV)I~3SSompH$ux^4o@l9}jvk|U2`FGL=P%;(qywEyz)>Xu<Bg3OBC|zIU zG#*euX6Md%2$cX_Zs*m?P6vl>>KN6}!0$d8DKRS%bJl2$Mf2_FJ_YpEMMa^r>+(eZ z^7D_Lrn{tG+7YbWZZA(B&GzoZpm~DhVhRv*EwOBV-ev9*(^JjA8%7H<W}8C^G59co z@6hyt&Z*<3i{=7~K)$eB{@H1}c+7i9JI++p^Uvm?4gqkt@{w}mqr#n6?S6bcIKd6Z z&ZbZ8Y)00i><k3B#F+IwJ?;~df0la}$}1T)(c59ZoHG=(2_4nv^y)(*&V0*utu|Wc zGyp2tvnE`kcVkKUai8mx>eJn&d&f2t<@Sz!+;wLkWGc_T#KY|w?o6l|W0(w;I47Pk zHdQ=qfCA3m8wKEc#6mvd9rck`pQeo8S`CPp(yHxMfXBaFZoj?S*KGehYs9Ovp_OZB zl}wk}D}L)zI7w5@c%SK=5d!S(@j;+Bel5+ZWE{ni23wVpIDxcYL+_Pc98NM{fGBw# zS~npwr=iTpy>*o7Y?2^kdTieCokcgqHA>zFK%Da87tO^PIqCVvH3+rzi50LIPO3I& z0v?}ArZpUboNx48xEA7X;h+sj1b%Nci&SQ>c1Zg4&n#*B?C!&PaJJY;SGFiIXX0Al zeroGl+7NR6T0`q*kIZSh$h@n`4QRFf;H@O})B#M>M;+uF!`Wy`BUijtTDl*PAE6Tv z>mkcc>*lEnKg?OzFDp{n8qJHRwqdmA{Ozm}|C7*w4tfKR5sUuu^v_`0-2Au)W4bF? zn76Dq)z?T8gua+1m~s=rL|A`*unPQKzc%g8pi*nvSzVhBTfpLux#nEij^V7HLCa41 z%s_~TW|k+Ty1*&VEuq=VOCavdSQ!B^*f=N_sgj{5;h`n~67-@P;X)=3nkx*Ie1JS- zMCI`~w(4Scz1-fci+i~UpHJu#7_hr^eFwc`>(`oxTD<>s_%+}q@En*V<rCDtaj~@& zc3IogCV*1SflP41FK^cGx2mp#cQIZzsc{$4%ncodg;sgV1~n*q9UxgG0r%E9X;<{b zj+Jd&J_32xy=2IHcHG2!v;A#TqUl?A2z=#DW|b5_e@hy4M0#(2&`pXG5;b&p>EQRB zL3!>8zxd-{s=be-%gw>#;h-2XFWd~Y-T7NBR5&TtdTtnL6_<dP$%(MVtj!M&(u{u? zxnk@DlBn>*eS}tjsE_3Zw#1Tps-#Rm9#>3J*eNik&V}HO?0O}r1r1~ICzNXQr)&8$ z9vx8Z+4_(zdX+d866lz?sLXPuZd{I&H|kZ14_h=;2D(Y98)!xSp=ld*L>g;2sc4JX z<+a6mj~%GMgo{KHHd|%36x%F%#1$YPc0x)uX%P`#O@hs^m2zM<E!y!^@&0Mc(tLLs zT`9TP*@qA9ZdL4I;C{@Lbdx*hB*N6mo(mb;8x9HO&2DZF-$&%k{WfsJeG5jbS6s~a zWcXDFJPH_;D&0Av`y)}w$@RLYK{1Px$0Ejwy|1H4=Phb!(X5SooKZpO946Cxm+`mu zT}BLRMf{n%#F!1BckV1C`e;%9Qoyq;FnHpJ^+lcs%`<m8OOjc4L1CP^<Fo7faZA7D z`Sw*wo3qq!li74AD+1Y{U1PO^-Ia_Rw)<=hoCM?e=C;guFKY>|4OAn&(_->c-4mQK z%vz)(tJP#mS$=fK(wbd_PC3js373mHnhXV}lVa`%#QJoA;v}POYUEK_7B@$7bmBLi zs2t_p!_Hai)-5!{!zZ_Xso$WgCyYf2GPVq`;+<Wfy7QgS0L!>$GUz~Be|Pnkt@E5M zXB?T5kEz5T+~DVHnB%MO<#NVCr-ZtL2z~-S;!|@bsarle1EV6z(uPB;Ek=i-W2Psj z%AHgdwhYt20cAMvb4F!i;m*@!)O5Uct#97Sg`;x5O+$A3=xDnk+D)M?5H_)}u%O|9 z2(fRN+YxmAyxUgOWkN5iL+b{!hpTW{sI)MpCmdLLKb$2z>hl`~^=7Y69NHDLmjZ>4 zWXShix%JzQsSDKlVqvq0v0h3yb);X95-a);sR0O3_xyN$TX)=MguW<w8EJnI?eFnM zZ!Uimzpz@TD>pMzD~EU>KT1s;oD6bde{OOF$<je3$mj*o6zEvVLqz^i#>5oSO`A}4 z6j%QQ4C4z<PMb=#GRL(c5m$f6pDcL<8+Mp|Uz4YyaQf$}RJF9T9q;*{sP&xUBV3Tz z^8o-Cy2V{h@y1JqW`*|2>B-p6*!hZ+<;<nF@oKpt^*x9SgYAZH@so@lE8@`~;RgMq zz8BqX^@KQ&(^0*X;aT!{E@nEvi*<6&n@`A-?zKH*THVg&x|B(c_lTQ%r*n!$57@et z8D9)OKI38fbHtObUACaNioOSX$oV45bg2L^;D`!B!CMHU5<uG#RsR}o+aY(*EBBX5 z%)^w$3x00an%pHj=Ah;EV5Z)#fOm>v<C5H~r$x#+2gSvb1E@$}D1PF6MSpG%K|Qnj zX9~><jXCe0|8`zLohM!$Ute!X0up&WY+Lgt7$P2OY&oJ>0n<4;n-X5w&mM@xrvqLa z+^52hQixp2;rsbNIXNDTH5{h5j>bl|YuvGV0a9^F7<~8ywV$$e&kf#o5wWd?VZ7z7 z=6&h`3!Xx<q=3PreKlI!y{?eAuhU+gd~tp4{zXN_Rd6?aqE216hw{a!7E~Wl9AND4 zCu&}xN|?m30g#gkO20+S#bVFY88BRN{>#qzAb#ki`936>Mz+?x+|2?4;SEzRYbdWp zKY?Ns>ef>woexHsjastXIlZw2?>g#)1%s4rItf3#lhi?)RojUaNcuQw>TI=!xe=!; zbDTWoi$l^ZEUgFex2AoL?lI8SDep;b-C5%Wu)Y2{PEnVOJ+_g5Xj2Q==>wcN4sOt8 z#0t4)v|Wtm4dypDa&T5U6|T=8Prnqx;cgVDIW6#RV^)5BFC8U_3>6nNpN>GW5Oc6} zb(8y0p33@Q(3Y6DMf)KX3rhjy*36sj4*ST@HCM+|N{C@9c=Uy|p4V~osSH-p8@$kX zl&V5XxvMG#g1BE$0vkK#U!c|JT?2WBJk?g+lC4gPM@D$`@x~UoQ2Gf}qXW5pewqWD zl3LA9Qt%-zkG&V^IcnY7#I&I>>gmW%*-62p724k(2WFCh+Xn+A)p_#BT%3Vd*Xl;D zvaZ(x|Bl)q)i%Kp=p0({S}%&76Yd+8D4Aj{4nM7L$nXM6Ih(wv$GnW=j9Em88aw7p z*#`%%0V+kh&$sC?+F9wb<gDu_DM-XYWC4EU4rSWl2kcyIv!}3VAroLIOn0%bUIbiZ z&u@I0>QH=chxcS259(qP#LIT$w4&RWN^foXK7aM(w4~y0#MFK1>yxb(gGzsvs_+Be z;7OXb(-5FPq`zw!>#^J1+OW#R?wS+#WczN-+R8RAm5W>I2pNEve6w(U%u0nh?Xr1G z@?$o2e`KE%Xeb5-@9!yLzCdgq8ErvcL$QhdyQ-S&n4#L1M-m3Nex2+d&{h0$onoHI zW2Tr=Aaxfzpr7Nu82SY{BXhQE!hj}YaS`hsia?)FclS>wP?;E3@h`yUG4_~l-ru03 z?p-egnED*V`ZTyubVax+Za>kZnz~129(Nk*!)ZpyIxdQj;mw1~Ax4XSVHtnUoNK50 z9V_bdsI|5$J~a$a-qK>xCRBKC0qQ)C0wI=I)cSXH@~`P?C134xSnshAYNooVf+G?b z-bUjB{j(k<{$yeObgKY+Tw!@^XNG(F;jozY4a(`u$FShG!~`0-N2#rq$5AUcc@=M~ zpc_`G%vPK}`wc$eMbny&jTe{lA1#wF$CwDMD|@r@Cac}aj#Py$`#nZrY<rZOWzWB8 z6x#U`sW#wSqgd3$NpeepW2V)BCjBXZNJy2icOwOjw2H}5-~PqN`JO*4MmRpt<Fc`6 zj;#IozRP%2ZI?d#<8qF@<b7klGzLHUE6iRT`INEin=}p$#2MFFwec;Lw2JcUKP+b6 zKkcZYdcFFJ7d9bL-!AJlhYB58Q1v1Em}1kW(pxQd1Ma5dF#A3c%8C6<mFEZt%2@m` z1cH}-P^@*|VNZa@$#(A;*t|}u5TpyTGuVq2V)OShP*m1NdS+CN&KbgjMty3pcE_UN z6=$-`;bX_-T<;!rA5N}z$mGz{T-MNOD2<C+g7r?|IbOO8?ODe8c_77e@HX62sK?|1 zouIiR?LAwRC#v^1>E>|1vjCt%5NK8R(Ds@=#`YQw_GCS0eBB^EF&Fai&=GW_v23+9 zSA-&5FYK_Hz(RG(W7adiDfu;M3j#lP$4RfR@W=Tz_!5g}v7#OIyxVj+_$q|ahTGS7 z-$1G~q#|Dni^|HKf;3{t)rK@vvnBWI<HwEEu(xZDcBsWuDoPUc$$?db-(vH{%C_Ie zsqKMk(WlwyBUgcI`nKDvTrKQL?T&Y~>*SJ3sRe~v^vB@hWKd?uIu55J<6$zmMOV&I zo6`9UCNG2Fd(VCBLO8~FM+n{{p*qqG2eVVH_4baI9Zr8hFH*+6^47cG*eH%EDblRX zY7M+xs7n`b;lk`~NCRxuZ>;xV#!*^q-UKxegePJ;!k0u}RpZ4y1ZT89=d4t6f--i} zNp~s#3i#FIjUDv7<dZ4}eW~q|^t0S|mdd|=$lkX2ASh&&XZ)Izan#kCqwmn%UI4ob z^jbTY6Lj=~D_2jH-SH{d5v2=0wu>Cfj-XDe*ZMCd)CmyZ`v4{6I?~sBPN#~u=SIF> z#>0|lWilm~iIKB+-s-jo)S#?)lm+4^73<;d|DoF?_vMo}<)K(N#gnWf)~0TkEw`Nc z#)P@IUti@Z$y~GNALY-(JbntLGPFNk&l?w7|I!ye^kkl?J|aJdd&piGEfB1oU#yoo zWW-%JK|Z(m+Xv7e4l_x<&cz@q`4!CRtKEutbJCv~zM*uR7;cQu(&2h7q>b>zl=qIc z5+!vPnrJd|nUJ`Mdf<(h+Gx$lPF!NFdL+w?_NLjPw)D*vxdh(Pmqziq8_=mLJ8#US zH`_vd{6?2&PybYdU<!ksr=?06S6vHD%hWjQIlov?BT$@C@!m`@q-!pQ{B4k_r-f3` z6ffcGdGwLWwY(J|H6gpDs?gC>^Y{%L^u1g&;zkD4<z#lSGq!Et3NGV<twHZi*EpS) zv@J1;R?hFliRO2|?l!M2-_Vr;mF<9d2+ZWIiaLHQqB+LqH|oacCg+s!I~bonR$b<1 zth(zoz#(<giFWogH%;H(3mVKqpCbxd57CGNVga)cp<#ibz2LvfDgdf3d!XWd8pZv3 z?WwW=Xc1%qHg4U2b4q%3b!RZ-+nV{w4(29v(`<rR2ufEJR75oYgBqE#2333jhMI7J zD#{gQ#a>VCngi3eF3Xyn6Q`+>ibM&W)ImULv~(*i7B7|MXGAD51-Jg}kxd=yPwhT{ z#fa&ZxT#9i4fHJSwCtYzZm7tRn1U&w=0YUvOY&j5>ngS|!GYluFR{EQC^sjxV_(zm z-0CoWnH(2`zj4=w2kvcn_F4DIPWVp3)M?$pTyVW^`O&UG#9&{NnbA2#-M%p-9k|Cv z-0<U64TbU=5G6YVT}9@6fDfnso{S%ADXRE5Pr@?QEb8hq3fw19#&>%W*Jd3M3srLx zs0+hq-ZZsir}Y(*d*hDyODf+JJd4&B-N@(cb$NX-5?XTzh?R4_y^qxsyYD%)c3(|$ zzZ8^SS5xovcqJo=6Xt$s%a;ZQbk9t)4;-o>cnGe_$lVB~0zZV^c8`+`b|i>30al7b zA%w5z_KXFD_1BC-Fm74o{ntL!h_o}CMk!+IAH(^x`E~Q2E#1O8)GAZ}w7-r%ch|tJ z=i(|1J~e&)Qh8tMn!z{tA<g|6518fFj`{i$SOCOG^xY9n5^K_$O9cvJ^LeKZ;$;<v zHFTHUzMy~>tZ$LE!&w-e?kErGim?`TTe40~gbV&8wCcRPwS*2%1yIi5H2dI?Ju=Nx z>#u=|Fvd5hN-<7-=7v%0FO-oj>HLnmTVQHy#Dqj0LH666M@Ac)YvB|pMPcr@QOA4{ zZ5f2~3h5=BV4z$COxQ$j1TDHcH5SterF$z0UZwl?L<}XaB~$)n7*3@WW2iA>N1NNl z!XN%;{cu#H;M@~zG&SmQG4IU1V6}3H4XH?ar)JTTWInY-`O&QRIkWY*P&s-tpEnlL z)Qb-27a0+DJ3?Pu;V6%gcb5sQqVd{Z^;sWuqc)ufM=#R|u%?G(Qaknr1j+h|GK|dp z#!<A~e@r78HayXlcli7nIQA*d?GHC4Z@(bPi-HpDW8L*rr>RomYbUCuV%t^_;|Ks7 z!y%>C5+`T$c(Yve;9Ae627#|;qUkPozgyJg%uG7hZy{NWfZ2PRNtxfZI-~NQvmYiL zx_18fIbCf-4<wPY+LaW}gYY&+aZe>#3HN{|q%<9T!8|(-$oQB;TSAGck2z|t33c<} z^SMB%6UG5$)XfUeGsL53TIapELSy3<zLB>S)Rt=X4zo|u!X8@;h4frs{92XB9p4A; zXvT#?>;&aO2ZD&l2Y0!h#yKAdY9#jSi_HEA3e-OW7GafTNG!H}zE9_3p61K`T>VZ) zg2O8wrpd;<r+`M3p^6Jn($*G@k~qL;Jh^Ho7T5N}L1Ai!U6n#g$R5;JQ7-}2Pgwua zJ0|dRAt7u@y_QnKp_8=>Q@N_x6~{fG>2%H2Ct$c%C4G&)>|~!bc}(8s9`VmntvlW3 zo^Bj4;#gaLro$Pv0(=ZZdm`ptI(!#m8i}-Mone_3gTQFI+p#e17b3>ICrJWCQ&o9` z=;<2?Ft;V|+PgL4z`?=Fb^}sUR4@G+t^3^8x9{6UG?cB;Y9$$toS3cYn?Ly&=A@_J z8$ySRWxbZmuE(XS&&1)HXjVCws+FZ1HU@yal-ovt6~9e`x$RR*(%z79W$l62qS#Qu zO-tdlREHjAMw}!Onq$wiNZsRs*DA=^Y8d2-S76xbQuvaA63{?+=6N6*9czRP+6&j~ zm_jJF{BK%C^z`lOlftkn*9r0(5>Yfnk*KSv#uDDS_rpxq=|=k-ZAnHDH~ct41@OMP z$~!B%655l{uhFLIb)Lu5C$%G&M!8tukoi+zMOZQ|T-nv2NRbMXlUz_Vm)hB=RPQ<z z{PiQ-%gwfwl@8{_xDvvhymam&=J+qgtE}zEU8lBWKF{-Px1NF)9zLyGZR!h7f3Q); z8uv0t1#2x&m+bU~W})h_?zKL;SU#Yb?oq>A4|XT8nM@}vs0sfcWO9TJy*A-hR2qr4 zZfGehD{HBr^*Du%mzZu~TAHab{rXwIiZ9$X&-fcFcv9lHa%1TyF}ArXi1G!GiRjiK zFX?3w2GKn{<SZ9%IP3Yd*MP~<qoQ^Heun4I&6Xj_9(AAP7BqJ3bOAV86S-+Sv-&zE z#^#$V!V=7zCKw;w(C|}7B_Y`|cVVJL0Mr6a%o2WyBebP|FN}U(piAM47Li=F1Mpo7 znG5x;69{Z`nGBFiByT`F1`t7Qd-Vm3u=SK>P-p$C6PKqi-oE33J`p0azYCU2y6?7{ z6*u~6xJ7@8A9*nE4>&ULJ|FrOwVt}^KVMUJnaPEK`ZINOW!R?RmdKrO{lzotij8@; zQbXna4Fi=T5&aYTWjl1NSaeAA_LmCE*QFVBEA6RVgOA2GdStzC5TLFXX%Z4sqz{F3 zE;GDXFVxm|deZ>2^@8VowuK>=q63aw|6tw~Sb*K}M!ddR0Ow`$_g?M_oI*t=@*Qv+ z%gZ#1-`#fPNNZ^6jMgidZk|OYRK#QzcB35ycJeI+jT>l*-^{jdKu3V)?xdZ-=G9(O znl-&oSlAQs0$0q$0w=Dy6Qirk6eU4=lrdB|#opU<)>3nv=oXf5bhc^rm@+Mpe+OU0 zIm9sKc-RZ9)63{B<QF|8HK^vY-ubos1G;n*BPK<=IT+!Gow0+Iz{$ubt>Srmnwv`N zlecqVP6M$1ei(j~bTyIbDHm_vQJ;haa{^|sy=fm>%>?+R($$GeT$Wtp*UkDqho#4M ztqA*DtUV<pXj-)<A!*hc&@$T9X8J=t%XCl;cK|KaAhvln(Ldu_YWghd)9OiB10vT$ zJw2sR$M|mdL_59hv#5cb38H!{(&hI`k7Q?o4DDL}oNL>3@7HWihv!3Xdg?!p;Gp%U z_;q&WW-3WSdEXrNBPn%-mDVXU4ntvKv?LP3buy`0Hz}zh!Zy487T{Go7<~O>#fu<6 z2RHy;u2<SjucY1MAaJT)*!4U6tQ9PpPT1N~^hp^$WW8Qw`g)Xhn0;06*7apEg39%) z0!UTk@3~RmE+vx!Um2_0a$SLdOMd;>Ona;Khh~Xz3zBmU^|{`rCcx*%#5lgVHWX>@ zo79Ttv$$03>C2lx<@mDR<<S-4`;6kJMg*`{?)$sEDL9HzHVXA3``JnAj7Gx-XI-;$ z#%4^c&b4H3G_BN}c_#RqH;*R0T$E!*-N%ieHM>>y=e|<c&##N!o7t&5JL=$W<JK0S zG|lAABg>A+Q!ols9)RgF9}g5XU5XTNNpjm_?>>@Z*Jz#`qYu^a@N|`L0Q;v>N8AS9 z0yFr|e8u-qkViuABAQ4ANUMVit;Nkb`EB&nl5+bqq#p4(^r20%-K6lie_rX8)IFn_ zhJ00k8aV`0HZ;Ugnrbj^TGthd;VsV2!kh-&(#t9_A7&gJ>pWjFIMp(4U@f0|tiDU` z8G-b9JFP{g#Lm7^9C_evLtKAlOTh-5_#K`*_0-Vi7){_5NnjO>y&Z)kJgUNv(`M7p zXGCTKH^1@QfDdZUlKA<>rdIlde|xOlSz$f*8=?dU`xSz=s#Sx|rw%4;NIS=gwbx!g zB&&io?gX7StQL`-;zpxo*Va|!>Z%{d-g6LrS*_2Ht@jycUX)~bCt|2Qr!x)^Nq?q^ z>DSb8X-4}l;Oft)n=Ds(ioQyl_H->y2yWT_fK6VCWcqHVUMnp3QH$8cl6>cDrCs1* z4&gPPZsqZ*UMQ9f{ix@CtGNU@e+P8VW@uDlAsN&Wr{<fRXq>A(Y-Ji*-zMo*x=b`W ziI}FQ;Y7etCVDue(&kSk79XHU`vY4UjcQZV$$$v<0~uEPQT9m8K<+9e%#ViLx5;a3 zvHbO9j12LC{6&Q0cI(4O>8%&Td;gFH)7Tpy+Y(P~`EcV|Ec$}H@#(2PoAoQ7RhDD% zf#H-@)}I<qnP>MR)1t?I&@(#}MfSQ;Ro~G<^D8@XDwoIH2|Ut6h<gIY>|E3P+`Mds zY_{^ShdArgzSyqQo$>}quFl~%k&%|kCMIv&?R9WpZ08(3>8vX#FtlqN2lvt)r62W# zLv3+L(Sit0Og*B^JD8`}=&3oWyFvu>&_%@2mJv<Bu4&VFIcG|*?Qt#n1oAkcSE77= zapWS$6%KXv#4{s~ffVrhZ`~V_hB)2y!+d71DJNiexJ8C&RN=cg62FYi?6kmP@olFy zyfXO99)g0s+&OD9o?&9h)%BM4Uuy3%t~ZBk*#ll!&$d2{4}LHU-geeMw{X~UvBA6G zJ9Zy9PHq9#L(!)&j7*)YKyvKG;gMC_g+;LRQOLe=@-X17B`wPHCMMq1)y4l$^Ldb; z_`oLA4&yzww$^mownKTY@R7I|YMfi4C$;+BM8t2%pCvTN^XM53ukloI<c=?4jPpEC zWo{(3)xE2~=Uczh3#pE?fwv{g-|G$UMj)9Bay<~+wf#LG&IbpXeNCazbw5E+*`ySY z>esOEr=<W>*OT0hTUG*5myh+CqjA%lX=%K^iz4RWeq_nSENoKkXV+=mmo8n=)`K~M zgg2(#2Ur>Q(JeneLg5v*>DqFAj2XRK+@B0-`}$P0oThfPM{sKPH%U0QW#F!^vxM`; z;=>ELrq8h}`>39S2UGEv{JHdHfY#J)!*;`fIc#bt^9FKNni{EUz!cY7vV>;o@+mH> z`z`hv)^^$TvfQ_FUG;X+-xpMYhY-%%I;LK5k9hYL9x9P>B3|ZR*}ABQqm`9~g+)VC z(=$E#7TQf1ZycqeL`JJ5-y=OnK+dT7Fq*!ZCpnf8w6?-b5%yzqsfkAUIFj5R1Vo~s z7od#B{aNVx($4G2>uGikMv3>GOw;SK8e#)&ttFy|+C&^TL{b2Kr21}Y;~t~ey^Q<0 z1>YABTRkKgB(vl$g#ud*{7i)z2axcAToqEkU)8@qB2BbKc?mr?85(KLUTm9O;3FNK z8zxm=QO3+8n)KjyQV&^i_;(tWfZEMQq4vQxH_nBsk=~)*eVtLpJmG5BxTV(Z<_n*N zds>rimP}F-DpnpFGyAxmQAX8BF<Pi)H(}ZZiQZD#bcMJU?tPZ4S_?{M82q_n`-WI5 zhL6~*jt86;WEpMoIq!Y^|I}s2q(?PA2pI{z=2H`%fg+#UiBXkRQET_o&MK$mcD?0s zID~k|J&3Shy;kWY9FPtu<hLK>6uCHRv`$^h=@@@6`)=KB2_1=J?3KWHuuZqBTd3^A zU}a5bT2y)CR*Rmj0x25VBfm-yRxg!f6aRKZ&;XTFw@OoKuDU)K9c+=Qrh1K)qj1$r zJe`-?>bqq+vA{d@GTL`L<%1Xg7x4vDa8B@iyG`b8>DwCIs&wGFx;jBuk+`lbeq>et zn!{z1ydIcYV2O>654=6Idh4yzx5;0YEe={L=d>1+0SZBqN_-3R>AXTpwek*FkW((` z<TJpRs-Qzr5?-7%d^r8tJ?B*+f=6Vs9Q*96dbz*7gzrFQgDCzeD)?vL1c&$i{ipB; zuPgScQ50u)GG3RAza7HRq(Hi_aEgF&E1#Y;G)piSvwZEmFAQkO-Sb9^X{}lZ`Te8L z<^h`@MKf5~iD5QG%?FNuo@Di<@IY*KAMFEGuZO;X&(B4tDynx5AvtD0AxDVn#VZdf zcxS3kvSwqvZgub^rMEL}Y2Hz8x)dbU*rH}9O~{a((HOeh;)<*HD@^jVE8<%a7to?N zLR?^0f<YfXvLx+1jZ#c;2pYI8%0@j{1mVaw9<)L#UW)CdV%{+e4R#N11?Zq+mO}uB z<!7Nsruz?<2i<EhZ8UThWa8K$fw&^kr!Cy<#xu;#cMrR1B`70G-K(l;>fuM%T?4I} zh6a*k6<9zaMhw9k7Xt&8!8yC!eZ<Yx%%#3qy15a}N5O9Mg?bmM^ezuWs<+)>WgyCe zXvM1>Gl5M>;ur7~7fMCq&>+XfH)){hS)}7lm{;aZ<u^{D5mIX107jYu$sb%%jPTj0 zpnU>Y=u<^X(3aK5J+f&10t;H>N^V6jwcQwwp0voeqFe7H1Jty|I2dNzTo%uYr?wq^ zahlqvAG{w#42G;rh+mg8?IZD&l2ONOxgq4Nv{}?F;vym7e7rav?rUbG^eQmJdrIJK z^ITj9OP>Zq&i+Iq-mIC=42bO`-GA++mU^FrG@SiDK&~xqokjw`?II+JA6`z)i0J_( zvG@6&Y}BdXQ)9P|k~Odwx0)jRmm?$9p_#=se3$i~xu@O<oyqf5Qa3PLsiJ%J><ng- zAkew26RUWWI4Hfwip6ZV1*j-Vkr+X4|M~$kM0-eicxXAoceUx~5arF=3p;XHy=o7+ zXG2mhPFkT*R4;6<k7h+_b>~!iKITAvq`*XRh~A6Y6$5)Az68Vfy*vLy#A76lyehl~ z&|a$2ew(nQz@h_l(m*CUtjLyFG{}ayD#(O4KIwhaF(Rhd23rCCVJsb=>nX92(2Lnw z*?5OYGjw%+Aa0JCh`1sB;An90sD1%FF4&Lko4FK=e<7;K8G{Sc)k$aG*luBR{YXo7 zbP$Wt-HrJE`n<5Be)V87mI(*zG4L<);DuZ;;mwTqzS10@fOZGxdwl30wb;qPJXb{{ z=!`4RxL19%$o+vO67Oi+GyQp6<K$&9kw*lVetkJ$@M;m<_WG!3h(p3qHqP{2hc6-r zol&tI(<wxpzpqplbBcc+zMp`9@U=6ZG2qU#H|ME{1@IE)EVq^Gb#fO)36pc0)#S1V zg|S={i#EDAb4TTIs@y-?ZkvpSz4!fC_+n&R+We1M=hA>e!wY^;JJ;Qn7_N4mtpXgf zgz@39Q@QfG%nOnFTTiw(S1J5y9mRaR(H8+%Sv^*2oORhR^xvCElIw0tmi*nQPC2V~ zMh8Y!W!DwQn8hFAou?6w&UG}s{Lg3|p(ShE^S4DLW}oRvuJg*THxITn?>(h60{O%_ z)*UZqy#<n@C#(yoo6kl{4k}PjG8i377zqw)$jr|K)~R^=j?M<I!P%xj6<bY;J?3}= zpYD7rg%p+L{m46&2PoDO#!^GnY;$?~(OOFVVM2C6$4u=mRv|sxZ^<q4%mX9Fe34VO z*Q>ML8_1*9yRLB!`rdPp9@tbvp4d2@M~<Y{0`>I_OuY~nQFn>MHmxlvmZ{~bE`>x| zLRy3$%Q4@0yOqrLd8(&tj5R+MbadRy@H1>`$sSalzK_5<?C-wqA(p#(AwHF+>4kl~ zJRm}9{#21keU7r5;>6KIal)&qrK*4xKCypEKf2*wWo|57-_(KU;>dX9C3q%1!P(aV zXv9!RYOO)s;U;t_Y9cJOGHt$!O*31%EJ6C>L_YC;T{9oFUG`GHMe4Q52j|iQ=^+8k zIjNrdWp6wSpXSvF!&<Q~Jp{^dn~x<*<(QaHG`|x+9(G*&y-s|X;&E|}jZNnzOKH0j zt8b}H!9CNvBqWdCnml8;pN{MvYg##f$$>lw9DF%+Q?$F#qr&@wwDZ@W6?Xwk$rl63 zvWMeh#1*lrF@lOA^Ob$MzfBwr(wBWuKQnmYZMk2LLmSP*<?ktz6+;IQF5LZ66RyK4 zr?1xvg|eD_6z^xVe34T6p5Zz!c$RiC+&+KJN_j2uZ&QXea75ytDV9?^_2xMi87p{a zBqGaxYHW5Lr;9sSum|c>@2O!l>Bwse>pyUD4n0v23dTkGSV(<{J}DtAAnIid2EW>z zxGMbQD&C>J6nB1HftvKtlBN?D-P2#oe0i<@?|Vly1yk=!e5p24vxC&j9R5##)?aa& zf8c57H^~2$vnTg_rs$PvfU>oT80^kr)e~g82)B*<p)34Lm3BGmd(b3He<G@~n%S=V znK?J~mJ5S$C=G+eyrhd#g2Q9q0ogl`Tbe@J#lt>SM}Z#ey>kDVc(>g$xUKY7&)fI? zH(d3ERlb;9^AC4xE8dkBFBlRNzZl(2Z?GK4;U{kEYGbCfiO}Zee+4ie1H(dBD$v`A zW(U(MgX?h^p({f#hG~W0IK|DE`?5;q%CS7I9J4b})o>{TPT;m<gMD#n(w(2f*+0Z~ z+IRcQzRFzWUwBHzP1xyeD169&^UhU&GRKAgN7Q?V!_|Fp-*-ep2oj<PiQWm(%S80v zd+(iSGx~`LqKCnRQKCl~eT*&<z4u<CcVaL)ulxQz&-?s0*LCK)&N*wZbIw|O@9*ck zt@kaX?Ca(Kq_a4<CbvV7?}^3iZN(JN|F2&7|Hw&Ue;9CH*}Vy=@X#CbA~ad>O7_$F zc6(`LekF_!3ep9FIn>MfmAa^hDVH3+jwpIY`=sa=u8$p6S%ki8L=%jE4WxapP@bUD zMg4tiTi8(pr{`Zi+T*l$(Z{y+M2;8UO`OxOhRXsU3NT8;vOnVZ0K6Zu+bS9~gW1h8 z=31xaoQux_>jmmnlphrh!SYrgPS$52dzK&U-dG6Q7iZWZubLBT0!0@~55Vj2ZReCM zDz$-j_(tJr{wl$X7*2ui>Bu#2>ainl>a_L3b$%+k#=>}lp;@upX4>j4jivR1uKlgT z2Ewc9A!cNLV+Y3k)uoKFQ2<A}o3-fT+$>-2zJ-{VKFUk8aeXd%=&27C-oIM)XoGii z*#0N`Z9VZi<MW6??+frMsj4H}x5*`~Y?>EJKqD`W`*6}x>|;vCe+5v}Pq4P#sS8#K zW`E94{G-CsBXJ4NzKbADhLrG|wk-I{Y8&AdoT7AaU;oS07h!p&kKM*Qk>M?#+}t;U zZ{FBPFdexQy7NfOjJ<_K)uAvh+juTPj_hBBJs<F4k$5<_)oT$Gq#+ik`go8pgz9Ga zr$E$WDT_b2Pct;x{W^SCh)fuUsvjG|KYL`6fZ|r9{#9kYqs7f@UD9p7Rxa{xGR&gx z4&Wca(_2H$71RWV6{mdK7W_AiTx^JEcpPnl(<_ibt$Zr^vCGjT?;c*Iy1y!-)^OiC zMOCxrQnEP>?pYPU$ejP8YUS|*sFM2O!=gR(6Q{&XNln#zsMF|CP2hZH&s~bn@Tb<4 zM8#c|u4uLk@9{k1(IdOd(S5}t2E2bs=M=!6<b#WS{Vs&U^@95guK%9QkpAa?d=o{W zBNqQ<C&fD~(TRfl;FyxA_MOom+-ax^%rSc#+Z*|zE=SkLE~_c%ZVoj|#^PyhD|!4J zy^h}1vsjAASm-}_dgItZlDZ(dTVEp4qrPrM;?XewTAT3sf2X-ut$u$4ggg;=&7mqz z>Yr`&tkS#5qlcA+YiYMmD?p7+w<`JGuJg#nM9+U((0Ix<LoL$9Kc8nIQwHd35tLmo z?`O5$OSI3uzon>AbaPxuc9wElh2_JZD59lq*}S5q4e*@Bsi?hwE{`ctD#4SfnM*gQ zY>pm{*Pu)_@%Z=2m<5==zSJRUT|h7Nnmg1I?ln$?yIl?MqAg2#j{*>_sglmnyn}Xk zz3}T>Vv3!@%ZjXCSxD>YS^Y)q_#uZmhhXCLFYo41pPAw|oghW={lfO%5gBepg)Znx zyL$><pD89Y?;TEXL!8|aVexdWLPLvdSWiFp1BiA}PX7J>0sSvJk4Wkn-;k=eMlm)- zCgZxVE;Xo{iE^kg<;`kn+lzv9o0uCkpjAQdoiBgyC!g@>yQ%xEF`JLey%`HHC8c4# zNNcVg7~D%gA169AF>Tb=t`M65Ywm_EZRdOtjB0q0gHwsvt`~}-^6R;)jE+@Bu`MLk z#jdZ}p>2P;05}DAd~^Ft4g57hpcBl@!({5f!*>FOM6pj&uD;ilvq#)kmhWg1hPdK- z`y(r2d)=x>ySjL_d@b^fJ4}<*QEUiy6{hjzP4e&;`BE`EQZ71Tu2c=VG}|h@%()y# z##`+NaT0f<WNE%;QJ=TXP?lrfBbXKPG879ytFT)K#IGC<2Bjtl6-5cQBYuUx1D<T% z79Tca@UwQB2OmuQFm~5p5Gjd8Bc^oJ(K**atDFPeku1RyPm>+#H(e4_)VnzPPTq>2 zX3x{96Q@KjVS$`U7y#W>S>?94x}rI79u@mN_a80$-KlLhwv3=PNXUsMUDT(TZD57% z2>lBXR&>{ENxqI2Bz8qyAht@!lTyn2tCzilQ642@4JR2F-r|W)O+M&G@44OKGnsuS z)Ie+ie}#zUE|(Xob`-tsySp=+Bz|7KjwPmNU*CC)-IO$o@O74t>O>=w)peJG$IFzt zVYAtyeW#~f-?h_FE6mB-pjm?!B>EIt3#9q~)IAg|`{H3N_&@1z$Z_V+z#nZ&xE>~> z;_Cy-gX!sgDnEwt!oWdt><4QRuECr<o@#F+3)jZh8)FUypaD7yf?fE1@8{Co<}7?p zw9FeM5^mApPF8Kdkvh_|WDtW@%gymbnwEcuoR$X*&joej@>*Y-F-O+qlp8Srqq*1( zo<Tpiu@U58WM8i?F$%M)%a<h2p3`yM-C-n`=nZC(tlQ+d4Z;LS5xp9A$6^u_Ijp75 z6Sy?3#?_rpAxuyst*hH^9MOK1HaQj2<W^ks{@uyHUnRV473|+TdTQ5M6GshiOofn; z$o(C6{T2w<{=Kc@sQ;gmBH%NpoDUhbDqM_Z7zm9h>Lg{+esY>4hLQ1W?lo2!<LW&% z?O%{}$R*Qe2)L+rPSkpWwAI2Y+WOv8m<9{k@}-SqX%i6wB`BE}+_gU46GprpsiFU@ zGWldH?;$hc-f*?-Z+A8JPIrZ$Z~M2EJ42f0rwq36X*G>JM(d?lZC+kJyxHj++*x>S zA~wh7RPy?}vlf`y@|;9Wn+OSe5PCm1aR0W4{x-91RGwy>!{nYm6Lx>{nI&&GHF)dy zP1^b+Q4sUX)WjB>29Un6^*PbWFJ?=Lj1jM6f~sPC;cUDM@9f7%k^K89Gjc5rvh4oh z0QE(KtCO^(skywzK3H)<X-0;1AEi}GN_jTcTF#yBCR-;>nZXW=E39(PA&qAN#@CWb z*j)_Nb~S%`7qOU1(zt&QwkeX5<4IIiQmpn>3jCAPBbkT^m>B*NPf62%=qTG9H#?oz z)u0$$y_XnZ#QJMq#h(Rz)>0Rb%o9tCJIixgB{a5F_eSP0`nxTTlem6$fKuBis)g}u z`kqvi`m{UR7Bw8XuJt|Qcu0x{l6y|uI+;cNXmDKHQuvL3nS`(0#@_mJ2f((~V!LX4 zY*;RjTf%M~Tp#}JrbE4+S9$BgJZu_FrFSjvRB-ryoWXOe`BV#s#TCB!aX+MsCh&0~ z(zLc+W}WET4CW7R_MP4(%ZsosKNXq~^8Zn!u#086o0Fk1`LkGYONPT1cr~2<GrQHD zyBbbBN2886a>NMfIv)*LU|fVYG+UV;5S`??(y&q9dCPY^F8ca3|51MU<08ccr0sRz zLh{nhC^dVMM-HU*wS=?OHl>6%(l}~uZ`a?p-%WSv%D)-}>3JQzc${=YAjaZ$$y2@f z+*OPhe)=zj{u-KG$r%o;Tkrvg%e>L5?!y~L?gHK2D)+4NZEE~{XsY9fv2eA!p#u7c z+<!aUE~!C~_4k=B=*@?5O^(NIvn6H>f_}2vQva9hut^%S?QYlH=vA!qb&jK}dNCe> zi3c^44%#V{QSQfc1`8USqb7d)X!9qXFCPNC&2adVF93w6&F2-3g<dp$fa>Yn-GQ!J z|IRcDMR?jk_wJo<gY-+`VnVHznvR7o5!E0yTHM<u^*-UA1l6i$`4NBIy{91wjs6<y z(*<$hb&^wpEGnmO2}%7)AfK|Zjc~5+6HOVOj$Y~<_irOzdZ+5AG8{vHx@~7_2VLOG zc5v*+z)GXnz--g7$}97WEB}k9kgVaP2*(>7-D7Y4j^{4}q_f_+6;8Uq3{%PFo|lIl z>cM(T6w#Q#8)Wj+$K0i>WK7ab`*SXo68Tz*&-?6WttD3fqz(xMIcQH?XEaYvt!|te zEu7eu3t-gDJr&&lz!?`N3`n<4sln3Pm@IO=_kLn+C6%xfOLg3U-0JT!wP|==)=TAR z+jI&TSL+)m>h4(M9u4(Tlt$B2WOHmmO6FAf-;Ytf4}U9dZu#<k|K>>cuY8OJcr}Jy zb~hpA8vF~^MZ4A*oxr31Lf{K|yu4@VY&>{wowV~VXMs<Q2?#SvEWWOsrgMD(+E4Mg zuojyrbKQA@>M4OGr$9W+O~DX}WnqL{s${QwZiAa%Ly%9Hf7TCpkqS0(YigiwOL^y# zLGrsiYAj}5VH$N?p{RqUvUxDz7yIJC=8_v(zHJ1PvB+kiDELb!#x9>NNnGbr1L^fb zRa8J6cAWW&)`WxqB<fs(N9t6h6TE{(Gr6ZZK`H75pAw^JSJYPAl0(J2GH%Y!^M2Z( zO*Ki0#v_bGlgghrKqPH*dmUt_IG_-|mjxUgM2W)E+!V0_igB4GbXS0H!<ZPkI$Ye) z({${U3~!8A%<<~sKXM7eEaZ#XOD~7rWPU)KTc1$~Ns+Ejl9jA7OOCeJQ=Sz7ucB#w z2nRDdqliJ#3yMg;p^l=@y$*c#It8b^>3T~a4wQDDLM>N`RcZv38s7yA1`~-T+08#x z8pNH|enk1Ww#e;ZRE`vIH9;uM6H)^CIJyLJQPM&LYR1JzRyhXW7&|?Z(;-YAluX%s zPesxik|5Hf+fv6q)sG*cGfSRV%06SK5lnU~aQ%oTG8K6j>o}hj<*3QbVXhdsD_rg> zf~pMJYa9&=oy8#$Dotojdn;bQp4iTR7{Jr7K%SUj6(pvXQ}+}|vAy?_*7EdzM|rn| zGs!IXk(#7#$a3<WgLJ#E1(MZs9dL%))0jn((pG#ejB!l>w~*8Ew$l+ZnqDW;P)XnJ z5%j)L3P2I^B&NASwkoAu@3h{E)$^NHhR`*H`!jS!JX}7!;Nj>gRK8h$js&s(NXSl= z+LyoDr@C{xvIPUhGAAuUT>Re<nt_|`=6WpwqzUEWK|XV%TxT(h8e7ZmULLZI8>)cC zRI!`Z(P-6lI|Z_ale&73Cz9p1yX4TcSspRJx&+>NZN329b-StuU2y3KA2biS<Zw&R zjNS46h&4se3qP?T<nKL}OEHmdPS7X9Is1{FoiJBW5C|achq_%M*VD#w=9OnN_c@Q5 z)4j~V^zHdao-06WR(;CFz-I#wuL(QyG7y)m=5D&4AJ<ln7aLbM9}Q(n)cQf<T(tZL z{;70BvOT|>CM1crA9CC1WnKlQ*uOkTjCS#?j_T^%^|XUVhDbz+mnnsqkPW`nmxo_y z>$!cz0I6>9#Ot;NL}n%oMyE8-W2kzQyJ4Z>2d~@YlCn(wxiM4CCx*N&zjser!nJ_5 zWbYfi5!|LQUBb&m3C@sUK0EI88oA}8Hi+wMvbZw_)mI!vR^mR2=V+{t9u@aTDbT|( z95N=n8CmUPo)?jHP31U2BWyI=zU+-JRo6y=V8d60dB;#+dUECmfw(6T@0M?Aw+OUF zM~`&CB5B?EH&yRmDr~YG$qX{yAAk2J@HMZW4Y@xR=DS*bM_A}T*n{R+SGW3PmzkU& zy5Ac+GGM=|Ai@6D2>!(9W0Uc-%p~g@twxCv*8Ibuhj~`lSp*u^MlSb>%3WeL*P@L8 zI@Izh^H&GP8PSnhDe9vnpbYhwSU1cceudtYWmn!-c#w(wR{?%~gC%VbF8{@>>m!=k ztEU*eQh0n!?0y+sKqxxPUR`4%nyHhKS9rq+a=-nXdJteEs*{kUd=)#6WS{yWzWu){ z@&Rs*{JTXV{r?vl;Qx<}U*wq$Li!RF<K6VUREB&1zErzV6z7tEdEcb|KV;mrE>?r# ze&oBA!Zn^t8TJrXY)^&n+w31@UL9#a#iXk;J^PPn{6F^47Jf)%i^IN#WA&13+B(F5 ztK+{3jqd^XT%ZQ~FOTmV$>ebyYJRk=g?un*gw5{%j>^A-!g#Umz4C19c?b<9%eH;; z*pXb2;@W7ikz|j0A<2I@JXyx!hgc1famsgLxQy>3m-3#@JnN<ou~WXmw5a)~<1z&= zz8a?cx0#pY3S#8-E{N|n)<tN4`afqOZcV@Mz?+$PpRF=B$MMm)kFU#cA5r%t{0HXa zXMV^1<Ykk^?lB92*pJopc6U~V$Jl7a@4U6A7tnACmCP>H>+nxDFb`H7IXdAxTwmsg zL6b#-1*=6aInTBPLR4a)C!)RHO;Z}fIIsj~962TeELh(eC0t~o_?`AIyQuDnpBBV* z?^=eY`vpuTzu__l^M|cT!z%9`>1ev2hirp-rR=>4FW-?rPWyQ-kJI3X5Qy_hLU_D~ zRSM$p!M+FLykNl(vBF=nchReK)BRp#!7sZeZ2`eG8Ev~i4YG9Gv+aIZ;B1U^u!MU+ zAM4Y9LXKzy@A3?Vyi2fDAHmt^>9B5I^DcxQX1#Sb92?4H#EM)}d42I8&X3Ik2UjJ1 zCPb+L@xBX>xYal)DdHU*oB6h404wMjXhOvvZJN~X&a5u^FSRd`F68C&Z@0NGz2!E) z6M0*He-Z<De}_*Qt)9DCJEwpB1X~Wpfl`NLKCAn~FQGXWOWX=?^WzM-D1<cYFP5P` zK89&J<9wnC5yd79%28YS$h7^ynP@=d4tGyX>^z8@AehAQHS7T!P6(X{BX4HN{T2T% zgFpF76vF*P#s1F~%Roc-nP%E^dOFnot!iMwG+1#=UT%H<dG3>uG`r5X%sr)k`d=Pv zNPk>aBp@b+W#5P7=|=_)bQO*jYk+D&$G^8->k#skWO%(c!h`iF8Qq`HGwD&RmGAlG z9Sv3{l~&6&+YETS^pj#CgQl(lN6A|pfa8mGwKM6qZOGum<-hmTesTV?BsOr_x;O_L z866+23GD2>b^P?F1$YWSLNY4`T0|QU{k8W7@#$Zlr6x^?3o%8jWWc`KVnjl7%GgRN z@i)o)9|1GYd|dw-5lYg0m&F<b#&iC>ir$uTGr4kw-x^%mJ}#3H{=k(Z1slP}QFx_} z<sYzqbqBt>4qnSVTVlmW6*k;O?jK(zQe$K}lwQL^LUG`fA&;>;%iX`e`Ps91gL1@~ z7W8wSJ&D{qy|<Z+!jXY#gyKw!eHFl>o*-zs2I+S}7&Z>&@*kRPKBA-k*ctY4_;K1l z_x*m1d8_KiN4qyu1V1c2=S#OqW_HtI&CFv~|2}6R8Y9LJ7jWYjd!f^Qt+n>qlH>S# zyM>1ePIU}OjVJ$R%CbwkJNAi%_jEiw;T%!BQw4I;tqQ8o<BD8r2^Uo6Y)4zd*Dske zYZ#7<OYQe^x$waBDK$(-Vk1(Nxc_GGF3$Jou7Z|b8*PGfVZL#=z7s(rADn%}@hf%6 ztA=f6zX@O!^_;K#Hr)MZKkVNqi^_a8#R@Q=m6}t<v1J|Q3u*S^igtUFQu`_Nxs}IH zi|G)(cNUwtFa0|QCnmI18<^NWzpBW^#?Z`+?4;=ne%K@$fX86}3l`;m_4PTHD8qgX zT;yck1&PX?45vxnvCiJl5}~`?mDG!M`Zov)Hb1$av0jL2Y<CilC-ks4RhU&PlaDL! zo6l<9>Eu#k1u^j6B)1eTYIZEfO-?TLM)8#8wra2-^c8a#*mkOXA<2gt+ijsf>^qwt zt?EnZ+na7W5ziDiDMR`om(=!`;ty3I{{vZBIYj?FIjU{Pkrt+iu{$XtU7b2p_PXDp z;YDe9XB4}^N%C(xO>R2Ix?{Go3b}40T~6m{77f?nT)87g_g}N7SG>1b)k_0SG-d@^ z_bvu&u|VzCm=W$%!h3L8s5QP37How6zS|Wi7QRR<J?kYhCAvHrJk2Ov4O<0}$4E?V zr|p7qP$ObMn;iInntOLMfgOn4T;54qh~8c(@&D<x2syP7*y{b|H0V~-x#xH2rej<_ zIR7$l*QX5e_Hd=P{fc|Oov-1LfZ`)wfuN{k`zx+q%Dh_dwkoyM-#(*N$$9zpszA7Y zt?1j^4HqP&1i7xu%9M}N@_K#=Gu)S*AW5jFV#&WTME2-4;<soPi@Vg0R#Q>kC8!{q zti;zK=`o<MY;c{owc@<qQk13%2^SXIiKP&!PUS%|d-djg61dI)bq4E}ZEB(z2{#ek ztay>eH2-0vw!6FYgwuCSBDa_ja0@YIr-)gB);FYOy?pw^w5F|_!tmJBCg~{NTgsX@ zs`tX<YYCiVES~7`^q_I2erkFKq$pv~@u@;j(E~^Mp|m$Q$(cp7s<QYZqUo%s^9wRF z(GAg}U+X#4$EHr~c<S~F;#R0Tn1yTsyhSVFn@!_omvGFEE`aGQ@$w!4gl~mrYTETm z=uEFWtgYcY2Z*H7h$?qzM~AgYwc_L%TfU%BSC^2G?nZp{460p^2yG8Nig!EZzGtYL z)A7$mM1`y0S;{g(e;FsS`PDb*XDRXzJN$1VR~QQxj4WYhQfJ<48w%{ua4Ym>Fy}NQ zpJh2%w7gnY<h^nO%6FAnZgAfl_X1uYtQNoAMGMwK4{BP}V)#yu#*CDa!FE~NCAPJP zzOJ)ng=;%qUrh@YAO8EXzwa}hAq8oYlUf@5ITG@qTQjt?=`dbym8GZLd{}XD&fJ|H z#S}YJ-r|Ssq{4g_Lg{S+-M6AKzP;WCRee^qv-@1rwvT{Dt?SLkB3-w{h=ruXx>5<_ z+S&?!y&g01jUH7l@C01yTZc509NXHqP?9yxT)Pa@O*gk?m%k{N!~Ey%a#mfPN6_3# zt({^XQnAg!x%S!7Ow<07dSM%F&p!o?qM3W5|Kujce0?u<3vT9;aQ?YCxTI{eNtlWX zT<Shy`Tzz-XRMpF_*_#VLkjq4A2jK;4~+FUcOS}pzFk(&y7fgb^t8Z=%GG?`B6;h2 zAru@L^06XdudGzCB9}j@*LD;yZo}!jN~|(U)H6FtbWyWs@kQdLbZSleBP;j{C3%0> zhEE1qy7LQRe#JSHkddhFxL<uDRjuBeP8uW8DSp6$sj$I_@oW0tmT4W10bX*7KmJbQ zqtu4D-m(O8)R{8PeeLE@PSm6&6)0u|o+ZVT1BXRs`{)K*{Mvi}@N#0=0uV}To(X&8 z{B7BkxA!wK^he?dpWj9G=$HAr?YJygVXOT=^<kg28$CK|n^x#dS-Y)^LRvOVguR{J zRB`}}<f5ZFna#mv`cq<4Rwuvpp18w8OG~aA{b>E>^?pj-Zvq(@>%YB^Xv*FFSB)x9 z>N&{C;>n_>_IQ$*!42-_=H?)Xb>&-+3FFq2wY!Gh)TPDk%PW2JZPkn&u;39{xR2$b z0Fzk6UPXXQ(9Nk38Bfz$>LIYQ-3mHdxC2^#PiQX)Vly)<<+}$tQ-}21`asHqA&t~r zuxh~sq?d^2YF4+KeXpQM8V{URB!8e5>On79d1ioK!30;1Wp?``Nwfshjo~J{I`Ts6 z8#>#5o>m_7mrC1iIs`^DVvVj}=OI<KGahH+Nak9NsRh~#F*D$f{i*2fpYD|$Kooqo zAx1W_LYKL3ensU>i*Ii?sE8R55=!Z@Q#%u!nHPXFw(m=zOzP*v_6NkshB6oCO-$Y% zR;;#r7Z*f(g416HHPf(k?#bPlz73ko3Ao#>otd+lt?!#%bF+uKVqaw@qdYust}f$Z z@Mh`%gyjdhlD?}u#1<DomtUCyitZe^{v~dIYc8Bl&K{TRZU#gb5ZQ*k`<5IIo>Qyd z%mSC>grSpL5#PwxcB9s|UVmx0nNv!??$`5`e}5xY0?FGK)9LxqY+r-e{UwEj3Ay6W z2?~;@hF#G5{GN-IZ`#Y{$LQDpl>C!LEwpfSr3a=7Sv(~>DS7~_<rg?L+B6t0%(T^a zt?W3oI=p?GS@Y_sy06Kw?`&yW#ma(GCvH|NGmZs^&dClMb*+R)+T)=y#e52qWlzqq zvp9p_KKvd|^ZLUUxlu{McINb14}+uB3d{ba;y?H0`R)CB)BS1^`K>}Z)w=V@T5RCL zc1fWB4|&oT@qG*OJar&M8HJJ(-H!59wb#*+{b_O8ga&2)!G-U&X%dcqzR-x5KSpn) zd@|8@C2O+Q4B(8kUpnKS4wKBwe1Pi=w{U{isy;ytFp*%H5`Q~S<|aKUJg;r#%PQ8k zx2#dKl7n^vW|LRpg#`tR`d__brHA%CaD3uV1+)rPb=5L4q4>qjpLh@K_h29dOesEp zzVK|G)A~3p>W2PLy0~njzq^i>C|gQ!e|r*tEfF?4=eJDG?Bfu{(c?ey=5?+-#E*@( z8$9nZ^^Q6Y4=vFrZikt#<H|rye#n&ZANlm;nU%W0MsKXd%<lv5^U3oK^1vhMH<OPG z?r9dH2GEr4&&ohk=*I4T-*jP+Rn#1QqA~1eSk}B!EhoZxLtcxmepr>!3NIkrRf&1h zig?*AP*Y0;J69R=-Vo{PKDyynOqo<geywq6JQ^T*w3qTy*#){jA?D`;yBXCR!aK4t z#RLJlu4U~DZzL7fm0r}Mp^TI)a<>;~%BS{}88$APLZD0?l`!Rlr{yc_8eF=Srl${K zknOpE@jQ)_(k~)<?PUBCqlWpNgJ!$_2zIWlglu3!s?}RI)zd&N_|yAm8Chu#DIU26 zi$z+p7kZzVCC$D_?(X5fz^BZ2?LWiQPL>C#;VG{A4f*=}L^Odjy(Xai@lOON<CLW1 zV?tUyZ^j&9LHV!&q6|JzObgkV@<77MC6(&UT7LoI0H$u6uN9k#WQebM+!XS48gH#5 zskzkt%;$8H+0(yZ{bkV~n4SL;GWLEa^O@QHAIJ3ICVf}5j%J^v^Tt$0XLzGe;VF>% zeuN@F#ksHV`#h3}aH_W8DhdA#T+T45k+gbQiieCP8`nsm730C(p<9d98HuQ`f)Kdt zYi~z^mER_2aTIHN;+cr%L<#Mmwe`q(W)zSY#F^-!_LLUJpR&X?7eHcpia&sV;V<RX zl(U$PiZxdj#FO9nn;T({1r+kCr2(4OT>gQ;GK1@rwq$&vrVXwRAv5oFf^vmT2(|fD zNeOTfqP2fyV<Y=GY6^joVh7!@G&{vkuL+UckuTc0qN9E{qZF6R%OrIm>80lwQsDyq zn|JEF_b<#i+65i^!5TBHo?wzME?VVeeq(Rkrf_A<#TE7ARYzsOe8Iu}fGO;BPt=S{ zrHu4bo5uyMqWJ-6=CE|UQG~a=U=azulD*rdy7D~S;VpkU9>X&g8&ny2Jsq$jLzA17 zbg{g6YWeIfL65y<z-p6pxrCTxS)6Qjz+vl$^^Cw@GnZTv;L>1!J?+BHfC+RrGrWsT zeU_tcac*t<xRaS2d_B+))No~}5=sQ^uk;KQCbIw%;8<7mycy_tel-PE;&wf=pW?ts z7fW(D+aW6^=MPe&8Zy1Fd(M0FKYRRwNmuua3f!uM-gA}=<5RwF9T6F~=3Nidpbt-_ zBlU&v8b}0d_aY`qE;Iy{m^=^vN>l5eks%IauZ`AliiKG&oBjX;vL*VNRPlimM`z8h zOrdK{!&f7o0c}jwZ`s#*3RkwTJ;n8E0^fUg-%ap}-l4r&MYNz~<!yfcidKuGRdH+W z<eOonEn&2o;0tLwkc9K`1YCW{jOCuGS%^I5i3>#9@E<QT8~NQDx=&5H@}oEu%uKOb zs4j1!V!qR>d;nm$N#nAiwV9e5CC78IX?+|^a8cY=Cw{$&E%LPe<b}PuhEy~d9ZM1i z6K-!*m)ZsE*hqty`gUu))JB_p9n%KPs;E5@H^hguv$1n*qm9Bm8W?A6cEw3QJ4xcX zOSrCtc_^)PX>R5}R_N(q`1Qj?BhkMAOI;Qfx?vLV9;hcs8P_i2>RS%wGT{$j7g2rB zyzQD!!F*KJdisV~om)L7F9N)3qF8FX@&Sn|r4P$y45)G%xj=K)ohmbN)fdVnh&S+b z5;BeapkABFS6qU#vms8JzN~l9ob#+_%0P9Vbv=wE%R_mHtF$vpe0}QoN@osBvsP6( zU9Y#U0@$0F>@a&#w3xQz@bX@>equALa&5@+##oOnK8gs(N#}OGH&!UPCC^HQV(C-L zz+ipaCOUSHfiK%}3LCWe<bAz=(&s=4^_n}wviP2YEzuuIr?J;F662T7BVQ`;Rp|R< z8p|S^6x7<=>+=#hD44nh1?^)0DkW;Cc>n10WCn_L33qcW6}`n|TSPTJ5F~}Bj59o( zBIHDPu3~PaL(96M-w8Ez!AZ=<yE(ZZUMz;VQYbN1X@6lM_`q-V3|r#r!XC$e*ojp7 zt~T4I3DOtwGpI3?>iG-MtUgn^jefGwh_EK+)@DtG`0KA12p}mzM{Qn9OU*M>tt7Ua zh!HR)bmr$Hqt%=w#T!i*FdmHKYhEeu6Fx!?^hO^8g~co*^GJH_(BUcO%unfb3RB7b zrd9;6t2l2}T>g2ZJ%gRd8AG2D_W3+2l6X&0v3i-bG14@hmV(;68tx!e(E=Tt{AXvu z$cfw#m~iNMqAc$komA@WZN@DK18E+}jRW-#aK@CRjNhNtzU8q}(>?!NSyxG!tlrdj zcmzfS{_>SmbrS2-q3TzHnHmr(es}rx=&(+PJa7EV8?_aMwW7W?Zv$@4y(^Q_<>sZN zBZ@8iQVE7ZRK3Ut9La?`l9UFCk&za>jHJCRC3z`wcYA9iGO|!na>|8A`_mQj-t~Y_ zIrGF9*N9O}j;&dyUb6G|Pn+$YRrS7X6A5_`vXI?IElW;%6=JiZ_eDPxmA<IPI_+8A zIEEB8Y|jeF3|76*wG)pl`a7|Mv*zu>oYc&$j&pT$CndU>u6R*GjQXIW82zRc(8(n6 zl%hs%W=$sFuu{42pEh_+;^$iI8v6lkI*7lsQk2gGe;ezv#E4RqlGW7o(s5w_+Pb$M z+UyiCpmUFXtsVM`!gJ~$qeqLS6zw$TOhX&;+&L)HcxXH|Ics6#I|_TbOo@J({M-`* zaC^aAYo1H?A2gBksi?b7|H=XCJX@l;I})~uP^gvESTxkxSh&sNhWx_Cw#EW3N>=aY zmRW=HrCO3W#MpTFv;L%f^je8;|D`mfr!%FSXZ*W+q}|DcWNA^q5~F2pm8dAdQ`ny= zMqcCS=K;HZH%hX9K<LjWUV0q3pBz(4spX_O?H^LU*-jL}v`|%hF$j|oh?>)ybWBLR z>dZ{|*xfUuA4E<N>hQgtSZy3Y?a*&x+16)&%1oR~usi*Mwy|A2`mW)d3F0Kb7!rB4 zl3-xMJGYn(PsHFWc)TBD@?~HVzw#K)&d!hg{zFOMx9bo$+*{aflb=KAMc-8=PbWvC zMiK8xO;s6QgR-Jvh~j(4B{Qa;{SL;N443nA37Vw$?�{LpbBl^J%$(l(v~{ebc~U zI?3gD6UZ7@q&McKuQl^Tsw=|TmE?2Y%l9d!i_T;*X;2SK`JbQBDSPQ_=v*43E)NzC zYS)-2L_F~nVl#dWRc{h^!lMb2GAP77Iv+JDm`lwZZKofvKdZHp|JDAh#B6tHutb|B z?uuiP9}iSOq*k2D&tIYsL|%V}53g7mo^gx8Pb?e_)w27mjEJM0{QTA?*CP%zInJIg zT#iF`!-moquXYM)gQIWzja%&<4Y5;T5$WzJH;kEE&*AB*V%O8kFsAQK5`BY$P6kPG z{UjowuYvVDtc6{IY{e0i3o4BAyzuRk1k$CmYcWvZ=@?5^5IO=_x<wY=j0uUa3LUgy zbV27)ji5U~!uJfWSLWMMz36Ovye!u@l*i+TshOlUtD-q>l0Y3~HFRkQU^o{%#u^%y zfw|)yv9fykCEgbMo+@bICjJSdTeP9QD=}^GUPCuC!z6Q}sErV&e6O9k>P*ajesYIY zNL1HIKLk5n6|hOdo@91Xa6ylCm5ck`6qN^gtxHHRT~Xhm%Y>S@Q$c4$Am6*{yB*kB z^Gd*yQ{TpKQ}l)0&H3Pl(0tX8fq`6f-uA`<&+OZsMq&p>b7)IZY3YI>YqbGwZnA9` zc^6&msz-gtR{e!FQ~l$a`IjKbF@xY;T!*!K5kGtfkO!3unxEt-5f~D|f{QhYT1bvj zf|RfibS_#`dPqUT4?_;}0l#ym+r#fMy_<<cT)|-N1x`U=B-%UI&4xE<B{Y_b%kD$} zK?;Ik&LF-kaxAM1aIkVH5an``uZeZ3s`oo6`XLLH*fjTTL}!|t($7^k(>aXa{uol~ z5UdBps(O4)<9vMHW;O2Y6jQKN&MLvD>z%!h<!`lm#;&^Zt_!B~{_3=Bl;JNW{}ty3 zz3f$w;P09ksR2#AYF6SN;YW!gH<Iz_Mv6~fia6udXvy!-K!u;^i6}zU^e>4ON3B~= z#Ni+m_;18|;>|f%2~NE7TMc}s0I_r5reI(+lXR{9kvby{TaPL8_BZ2rgME1DZ=bP} z^*;ai$4bx7+zm}hQhrHi&i9hq=fDjjlTPuM$UF9$Wp?TB{-%-7S#e=t8LCRzA`Wvt zSMrCAGM@|GG#RX*p8%NWkzXM_9XyK_Vtu@42#I@<!G>&AeW9o*;!!Nd!$iM-aRm5x zbPQN`*&x^6q}ICl&2R>ve-kY+Tgt3eQ9K)E_MG20T^efeS{~?TW{G!Q+#XC8A|G!r zK=!nacr}+eKYJSsGI8tpIJb5fytnNf-_t9Oq?lPNF;6}wvWI$!RQF7iUs{or!&Vv7 z8kIm~1EzeoOkObmkvFG`sg2(CL_j@mj6`k$wI&f=iK2VTv*at4<qF(h3EL$^Xwn!r z>fp_Oyh}cd|LSIZ@s##s(M#^tZu02tiIM|VbguVl9HWG0HKH7mC<W0@u}D5P>Blc2 zHvYodwVon<RRT3PAb>G;0Iz-=mNRV|7bBXq^jUYWaw|b#qq+0p$T1^vU<4w&te4y0 z`%tstyc#o-i#X&DXRrCh)hGqk->I4~L-pi2oEWsK6_MkAPPDm0uW<V|sj0V#I>thC zLUiwO!_ONxo#5ItDGqP-3!a+!ZXNt`)&?2pT@^LSHx#z&%4_Nq5ZQW`=T^@#l5i+o zyPXnzxEPJN8m;r!8$nC~HqnhB5#ukWY)|R}-p+Q~Shp)rAUBAan#<<2zS;CWktTNO zIYc#S;oNeXMo3V6CPjE1SF64t@6Ad^wFvXn%&iqg^6Gfu6O;Q_*$v`FRGr3%GGq#? z({@L7GiwL0$X^^y?w}^Z*2g^+uo6i=bGN<-*He)o%cRuX8RK>VR%al;B6cuR9JV`l zG8+5bJ0>p)NM<#QS*D)9$>qZg$D{WqyUkev-NA!jo?6Cf$kxkV?Vnat1_AVXf3ckP z3@<307<9WsWpnf4rQ@HuQLN7gD*#89A+pZ|VlVLyyPu*-8sK`I`W#s*RF&?{(=Not z-bVBo^~WiNwj2PEiBV5N99%7bVVuLcS}$jf)=tTj%c#myu$fHWX?@tze4FmE^2Q39 z6uU)T87rVZ^T?|9aoHzlR(i|@-!B@G66v=)sIH^YCTuk}KZ?;*p;Grh2ExXRAwoh< z?xYGVFQn8C(Tc^Kp8`=@b_{Xw_phkdfNa*VSXpLlrad%ZYrSSp17l2Ui|Rvqkb62; zC#7)#V$M41z0zM-0tHANkqIyBwjqMMpDkQBb|M+0!xO7|f?vvnFs9i|9oxn*TA2|) z6A7q^qW#O0+{Qp`{`JQ-aT+yhGu&H$FW6;`XI7QN3!mE*b297zr$wm0xEP*xSgFCb zTRD2wD%1<axMz5Tk8v+dfBuoes#7VTW)gvE^qiD6ep1?F!1~3knOV_DPF`xr;klV# zd!!8ViwS07Sa}yCHKppB<lqwCY7}_Z8$MGZ)wLwK>qOUGyUU>RDguWFaa6kzi7RKN z^-~ni2pGvrape=ER<K$mxW>?HYu8^ACU~7DzDNP$sn2fOVuEGFQcYaAZ_l`V7_3-x z8WQSkDDvNvmif`I6xpidDrv;cF(<u{g1x+-J*8j*7lRL^EZP2;bA}y^<R2z<L1jY{ z##V-5A9*$|zc=f<!;h)T-`0}-C}9d>#eL6~LayH+ut0M4T}Et>E`fwGJ3l4vi)sx= z1HB1gZr2s|tpgR`!6J$<VSshlT{BuuX|r2H@thdYHAnc13{FJ`xSbnGqSkvq@lj(g zeYV}IGhR1I&R($S&abvsbO);5l#~pEvNMWRq@LV8i@n2w6fkwq4kFhoALfcpf=qW< z52a6X-shG#PR!Smeg$mu%$j57o;QeDgDAi;Q5UPI+u(&ddF0BYTvM<`y1j>>cXppg zqs$EJlRZ|c=+DUhD%0qon-4bUy17YeQ{0~nea!q~9Y-Ik&uO%^hoc}^xzB>^+!V`b z_eN_qKI+mZR+L7+-~vZQzmU)SZ8Ka)6*I>^bBf?-Zy_*tG)&2nHl9_BtU6fCLfQim zD-dEGZDT0m^5}S(u(?7FL~rH8N5v@OXUNJ_n?M?Y3G5SP*q!E@jwN`~H!>YQ5LIgN zA^4?`?W%Hdl}KlIOwGDpGE~T^gBGUqeb9jNiuTE7M4uHGk{!9xWm+>DWL~TC<I&d< zz16RtnS0X-pwg2M7JIyrH+dQ>CGCJC`7;snufOG!Iev`r%g<YOnImVLKIp_<cnN-^ zhA0k*{#;4gH^J)=ygBU(++ADK!Hc4EATjU|kxE90r|uB`Tzs@#5q;Igurz2pIiXi$ z1I_q)zW62Dh{J^fVrS|bsJs0x*5vr3`BS@%akzBQ>3C^_*=Mhns6uBktEE-oPsOC& zgyNI&>7;bRA|IqC=X{>Mt=St6vkFgV$4)dRCC<SxN@AlAJOh>eutFVxVN?s;?Qmm5 z!_rd&k$Fr9^<(cjn4+o54J(PWC5<=(H-h{XBzVtOx?;$K|MJ^kCp>Dz2(cU_mW<J` zsTsnB$+k-yjuI+^aOAFE12=#G9qV9blRsFuytK^sHwWlqnAB6;khW$2A<@-N%UwX= z_Tq%C`lNiz<)W@3k>AkQm!$1NgTi{1ez5{t7MZ?nwCV@uK`T#=QVv@I#oFF)_x1rq zr9XN;U8<`~*57t-E}q@GOZ@#~9=uad7qABky4p1l=ultqt)56A5;6k3?k5W2dtQQ? z?$6;b)CSuHDGe`r*eWAS<C{*?7^+lGJ{{#Rzw7K7&eWe<jS{MnKcDeP?6*|nQZ$(# zP2{R&`O?`#D+ZbI;H$d*i!-^7EXqYmT!gG7X9X>hF5UeJz1zzNZoZu@xZnMrTJ$@8 zALrtkz3-k3MGB5w{wfB>_vsS?edo_Mr^c_=i^f$GYJo|peEEJ_=Gsuc#Cp{z{D;o| z@pa|Bqnvwig4U8INAKstwZm_s7-zI0z2Ox3M4}#S;oLT2%=K`bdFMRW*$PUeKi7^{ z+Ix;bB__qW<P5q-sR~Y{->4oXaYw2qRrf$9H-=(2w*x+Qz=2W0dcR}T+)EbOtCHj@ z!6#ETw~<{vx$(Cc_qnx!0pMDGMzVOGmL`ANHMWH2wWbpN)?`ZW_is?+-?=TY7^ro9 zb<b9;B8;Rp2+q39wJF`TUf#(JP8yFPd0^ZnP#M{83QkG9Iu5hYNx64BZ$~&KQC7uu z#xR?r2Sf?nfn#PH!%!}A2iqyS%aGFec`!{Lr}5KXCZ<2^6Cn*FWhLUo{qqh}x=~rB z<>`>tp+4S()5MO8Hu(*5!o~BL?|<J!0vb`~`b8Xb%c1TijzEvM4b*rI!fg0Km}!?H ze(>=xX>?<RF~Hi3ht+DAkvGl(k!4><tbB7p1K~;p)C0XDW0z<V#ya^L8Mt^YcaKkp zdDPhMhE2=O8<nHhw=Qk2Y5TINh4`UnETmZ|0pqiE<{VK0A$Hi8POtRRHZxe>fHkDp z%YN0Imn6aEY89Asy+W!vPgBAJeXYGrGee(`{W#*8e@Qbo%GG1nw0Ov}e`;g5u|=c@ z69xl=9n|}WJ(W#Y9#$nYP1>D3g-KVjB@L9RvB?dRg1y2Xo2JINV7qkj%OB}Z7f#w` zDxLyrO3TrJL$vLS1|?%q9dDGYtNlVl`|}J;d0K)rvI)+ML0+**uRP+ibv_{OZl{|) zvDnRwlHo8-2Q1gz*V~U!Gxm&mflY&&%>Y7}MYG)dFvX@;dNJ}wV2b58zLTo|Da&@| zqvb@#iyqPTD&X*ws=jlA`xMXY4|c{SNAJq00mprMo)U#(yv_@Ne)mOeGgC^Pu&I8z z{Rv<?+M#gaA&We`eQ*#<`=|C4;b;YoKUMWRd;#%p9&g-%=xHnNU!-OrDsyMO0r~tY zqwc+Wi?49*sl&}|j=)^`3_)Z6UJ#8N6OxqAh!*U@#AuPs1PH29H|oy1E{MECO?0F^ z?kWkQ%TrnO8MNRqemQ;3`*q#5iY@{j8s08_;ZDxkU?J<L2pPmr3^KzqOE(HiuZYA9 zJrO1K*BO{eYB!|GMp&x}qB$!8g!z1q??kbQCs;^ev#FLN-xq|K;<6@@zNl}%Bz`8D zo$_@x7hz9_0uMq;P2}48E8{%5<{3p4BT3#<6BUDcZ0HI{<mTAr*K~|&_c@Aw_cqEV zN?3ymQ>leK>ekC-N&@HE-y42Pd~v+CFrPh2_8}jNU8(TUey31uwMQEGDXKN`qlpB6 zUFd<|KU$M7=XzH+8+S_vOm<}N5O8Md*X3M++TKo_g@PtKMm(J4$;;I)@|h#+j4=9b zv-HGsQY^nG2PLh{tg<EZ#sOjO83IzT?wO2OR?n_{^cnDfxUlWt?n#=N`LZsvPbt)I zJ+rmi7{`6WWH|5g7QKf6Ub>FUWjiPpSj=zg*t@vad)x}pK$yNL&r0Z#?R&Sb%Hc_q zM!mmc;qg$7#h-bw*6NeJkTgFNUNYU`YD74Hz6chxba^mSDw7#hTgZ5YU~}o#D57Pd zf6eAbMAk5PQN=aAGTAc=-jt`dmKb6D{p%5aPyKt=ZTeEzGC^f$AlOp62r^XWc=~1H zIoGqn5#iKZuel|q!u>aGn1)PaugI2u!_FgasyC71g2huzxxUGx2h5cpA&u4jAL#XV zOD%Wmc$KP^mDeuxBG1u^a=VQQuZ+d4nU~C{Gf$SdJ?$3}6PkC+Hs@d7VHWcC?VSf{ zLGzk2!DdA78?WZn0dv(YMN&OB-cO^<GK^5pM#46c05WPEdn?e9O^xC(hibPw8r>a; zltu0l=|xby=L8QI!8y-87j;?BMG;D$`F1;<f>|OPhy`~N3Ugn+X4ZcS^^AOGnRu=V zX9&*cPfm#XVDP+*Q0=ihh+ADJW_FxcV6apIuX97(sODiOKv`h1N66=>eLqPJlt_WJ zTy_;`MwD1sq^C&j_8&6&q<2iG9Phd7Rk3q$ztDRj=!yU8ue4&K=GxNc+?%pcN*|rf zgbRl-lg`i%|DivhtMDg<NeUTnrTO9qx}udb&Ks>B45jhcJip(Rds!AT6FRCX_IPwq zwaUseSNe*b9k`&I&6mHGTUN;Cg{2I7Hl1J^5U>{aU6+<x;ztikTva$<)0J~R)^?L* z8?uajp}72*+3?<wjR9vJUik@>M#l6)q-2(3#@#n~gh@d>2}q>S-_hc4oiRSXIX^2& zNQKuF?WaC(>Fn-6t})%ptA1F?rAGV1%?T!BaHmhI0Frutu_m@+xShTmdvrclNp`wE z2bZn(`l_;`qJVSNXAj*#YVOYr%rA~!*6ZhARcUZ`yXHlPc{gB^D!;C`o_khGkQ<ph zx-UBLJTrf#Mr&5-XeE)a%IQkNzR>dSB9o*@1%c=67r9`W8>8>TMn&+LN0`3a?$27# zdQoa3JHTBc>u6v$uQ1@>c@y@2L7ykAY%0?z%Kvc5|94ZM=Jki8IRGe(>%*?L{N zm_b~R5bM3phW2<XFo)ptBm&ddtXrYgAd_dQ8bunn^epy<a_>X%Y+&_gQC1bATCCkM zBKPVlDna}?hZs_u&c+k>QPrDbDnFEHU_n8=D9{jBy)EbK*TRiJ#K!oAUF6CYaYWFL zlp7`xwWQdCU1Perq916fZEy=*6&AH4wj??ras70-P2PTn_-uuynZR5<3*KcX(n4X; z=yg6JUEpL$*NtIy{;T8cn>*%(uz{79B?-TEdpCu`t|j-Al$5a3D$0iaUG*{vwEf)y zkjitF%W{}{69%01%V+sJHUEt>2i*D8IJJk2Yn|7M7ecSbRrPPTRRvH~)<r<|A*}pn zhP-9Ro{$I5pLsT|oY?j|*kj_XDdFz*E~Sv<Sqo|rSn3XS&O9r*hr#fGZo1-wF&&X6 zn49PWl{3rgibKdmGIG_1?IJi=A`|G2pmuLuEkGinOJc!u%4WdO9!FUqh5<5Psa5B2 z;&j2L1h!c1y1Gy>Y9)rB>)$%=r<36a@2mrVh3>AyKw`xm%-Lttfm(~RjL+cnm^Em} z%uw^;j>Or5J|`g;-EBF@XKOn;cy;VhVXf-W{G@y=$oFJKx?HtzA{sUh<Wj>S5jz_l z152pgV6sCE2sd}I677QPMxucC={gzt-dW$y=FE6<y>@P)T&yJXFIhtns)9F|(~Nqu zPNTpRa~tpNWsHpEBCqrkyf|1{sb8G78R1q|MX}Qm*-EV1*+BKz2bg~!DxTFogm<%E ze9En>JMU31IGyMe8kztKT=O}(gIB``%9Hm5tWC*dq4!v9x4{_?bg_QVL{yL-Na(<- z@u0L9=?sOFw^m#%Pcy@#vs`QsW*3?9m0YwY@<bCp@p!?idp<QM_9m+mjvvJ1L+O$l zkGjTQ*#ucloKZl1!bw}^S@rlmIeIgQ=6oVN57!wSCA^BgD}c;fnL*=YTM*ml9tbVe zn@xXmcapz2ROoS)-2s^)i0yEonLDAc1^5LS4XTsnT4D-9i0}}vKI!fCiDcjoZoG~m z?q1oNi(iCjc+YsoWdy1pB@-hGyAWO~Q}Fgyo|6OOvCD0==iwPK2LmdrZ<kVbBzsOD zmIaxi4uvoS>(z(q<0ef#R&Or|SI&J^m(mc8Q5!_5Q}#JI>%Pc>$ns{){Z4tKo2s_r zxH<Cto+<2oG1i@zEG}uvtzz6aCIJ{-d2KytxO1KO0#bFQy5NibKqbWL7<o_jA$c<i z3ELo;WKQmiwB8AzH`Ofu46Uxty<fqs+_*g3&V~X!!r=Y$?6l_6bq~)?GO>UYRS{=s zJP_#LFd|I3bbSCxK8x*UCSAWr#?^Dd>&`_kg1m$;WBC)h>v*cYdR+;7=6zg+y!1;e zMuZdUoyFjLS7|iN@R4}|6K8E9aQZ=GM)RGdKBi)?v-b>Ym8jZm$gv!|RYG{bQcAou z1qez@Y}As%tyrJCuSKuttvq@1cs8q=4OtjuTd(tz$n+EWwsyXiFtdNCdHm{GK|BA; z(=vC|QHU)2F4dvWF71s_wE5Tb>8jtmVU9tCNL5PDd>2+TauNT-(Mbs#_+meoGYc>u z6t8*Uo;iup`*zo$&<ZIqyx3baC(dH@0VY?{NEQai$GJ!+3wCDn#0yle=Lb)e%3Mav zP8~T+`8JP^T4#}jPR3p|#y*p;QbETn1*o{@pxwlPz%ut)>kbKiw^r&|6JY;T@tBX4 zxPiWD|5v^w9~UUjbyua?gl3^xQBH1GeQHu$@S`L7wLea5)vI-$;B&?#`NLT+K=tW! zHhv@tyjBEEvp$}lakBS7@%B^Ls~XDtQbZj!MY`WEeT5xb>Z!f6PnKxUs7nj42zT0Q zL%g((jGB|1&-mxdEmcAeV-LHeguVIb26g?Wqduc!ArA}u;nJ9?yiGZQH9@wfQd<>b zv$scd&}V#CaZ;UMu_{H#I}^PL$j1gg=*4z2D6M_HB%*9rCBymqwu5Ku`;lC4cP2Mv zs4r~2k{ea+7N8NCk5WpGMiD>TF*38ED+|wg$7vZW%7Xde>Uemm-TSnHqXo6!iD+k{ zzwYc@8w)(c&7pgtGotm`fDx0ETj5dZ&?t~zqwL!9rt*8`N$fTBQm?cXc&+^nZxZg@ z9n*f|?lU$1iktRnpXBaFS@@;O@AOVeOP`dK2Uf5bG$tu0xoDyCy~Iwx8S=z%9rA7) zm(q>Y#)1W2Fo^VVOaB(8$O47sEC-&XGz_62$n+P@i}C4nMO_Q0VZ75dCdqfUzF6XX zmZ&N}S5hVsv|B4OcrI881kyH10P|oTPG3u_Ulfg_Z*_Lx%V6z@=;=EMO$?UD^-^_z zDOa7E@Z)Ny9q)*(B)BMzLQ7w4e5ak{gX9-GNDcgnipg>-cb$!b<L2LAMQeLIv~~FZ zqw2fDnoRn)-_=!CQBgnvX$k@YLIk9@RgogScUUP>B!tjQ$g{2@0s=ySNMA&{fb^PV zl@dblB>^IY4j~ds2nor@|L{9_4(4R8ndh2o=KjsxMI?G#*!=5-drHA})9vLZyn)}U zJ8wU#Gx_#2^WD?K^cQv5O4CPF5l^6aHJdWuI-aY!k=P~BuO$yiFdij5h(N^Oy<Af9 zgg)4MzNwnGj*Gx|PW*F;v~VI{>nGQPkAn7*Q5>$9R%ulh9p9Ie+`n=4Wj;p>y~-q3 zw%_6YfXaG4=lVEHV^(^?dGBEKZWIL7dbKXy32gLK<?U9dAj+|8Rnc<v{mmUf|6&3k zo^oImG`#zjdjpYVA0o>2<I6dSBl^7Xvv-I_p<@nq^BXgV>qlj7a=`9!o#enI=RWnF zSX*yRmHF=jW`pJLp3L<Xx-Kq$Mri+OB474j(ZbaL!`l|odtHm~?tfU!=9PE}kD(9X z$v^%H2Bm#$nGsPn_>tUoZdwmH4jd|1^VL>r*(H5^Z-B_-oHdk^zyE2y;=h6yhHpGd z@;3xJ6*)+C#OQ&>_lx&NTID#MDZj41oRXYHK6D-d2mCc)nh+A=lJ52S@3HBK4tU0> zSI3lv`%BXi!};Hb@%2`#Hi#eD{YN@ufgw#FRU0@LU)ZXBo=jGimIFaY{q+DlfyMGf zgz`37JlAH@<K6>%-n7$ZLwmzXuKvUK^SkNx-;Tfc*E?l}BoZIcWu+!3JM(U0&CRDl z*>#gYbHW8XE$%Nqx>sead)n7u2BC@QFh62E)(nixVA;R6Z-j>+wY@7t3(_oKdi{H$ zR57X}Xbf$v=VI|K{$X(qf9xVKfPHhy(<5Q~zy!ZK7X@YI;>oye&K^~GVEEFzT3xD? z`6Be#IYLXPA<)b%YD}|M*iy=%rczi61KWs;Up81SuI%RZ@B95s=uIN08NM=ei~re+ z6W-qDT4?F)gpn8dw6tS;Kuq7gWcO&}rF^dN;QT449!>c$9GPtA2Y`c98*nFxmXX-~ z3NDu>)+kQan4<OT=Lsg~fmwmcc2a;<TD#2BT_x^0uWp-_06~)g>aS>Mn0J&n9lyz? z9lMWqKTcXk?8nS3(*H{7#kFcHkq2@6MLem!=85_vhtpbu0XISY#Wm@!xidfKru38B zqLfVPxn0*YPMGU}l;rgEman;&(gu=ML(-p_^^$yHTT(wQsqkGMtf=C}r#4Gb93Jc| zyQu4E>sKoN9TYkbd(n=GgHlPltV`-GRm?TJGL^KDrapB->gfwFM8lR$9d0lhd&=MX zPf3hMpw*CC#r~Df`>6p|>KzBYl0L(g#Ktp|chB(MoR0us(n?RV%R->}+Vr)8kBV4` z<r#J`ezzUU)YdmLF5J_Y3P1Jm7GCtfgC+grqImJgWWnQ>ofIeBwtX4gd|C+}U^cCV zKZYGF^yX}f<swa6*ool;xd;+D>NUXF!n^WueAir!`7na0dKbTvQ&eLbh$O1&>9n_& zmk+|RfP##DS}QncZf>O}h+N~7OrOE=+~&hG&M&*m^qfg*HyJHG7E%*2PFa<>x-jV8 z1t0I8rRDCr<sp)1{U~_<lPk`);Tko~0L^ApP3mEfD!+t_QRN(I5X)Hm6xpMy*|rcL zJb7%woD215RFV{*d7^27TSgPge+<8JcK@U%8Ln>MRZde$Bc(ALINP=gJX;7wuMwi` zq~_ce+f>B5uDji(R51Lm#t&V(mlLr#wU>C&MDf9x{Cr*R)Iypmx+7|Bu+-HTsieyC zA&2`;AfQ$X5squql90$Zr!VQ(X1zj`>Tv>lwyXfF%e6qOYwjJQ`?<x0B(^62y!tby z<M+fZDzeeJl!IEzp%Gm5xm;eYgOSe}fw^>k@}KnEv454FozZ`%G;7-WNNy`I7!M<+ zFs|Gs?O=x?nVZ$aT3ntzz*tz>_UTVT)40q9e9vdFda+QZXqrSFyZZjjJmt@#HI&|z z@-%V%j4JL?#91)={NqQhHh#zi(EdZF?V6ZhoNzgRw^$5-&b4dHO=+m4KJFl^MUCZ? z?i{WD_UQ}tU|}G=Uh#;Sh2Vn@RIhJTj~ATzHvhvrQ@p!ds$(0H8dCMw^b<yVy%8qn zm5j`<^dLp!x!<chCCm%JR+1+5XDF}vlwrgfJ1y7O_oqewB+r8$?3qdvkau(n*q3yD zAz)n66&Kh4o2|KD*0>~J^r%zJKeFZy&b4iX^z@I-n7;!`0_>gis`97n^#-zLrZ%%v zUBA83{zkg@W9~mm#aln{)6c}8QCDPrk2tCm;x2{7l>gB9+!WW4rWn<j8}9Gy5N>BY zwM&t_jGsI)!=76veB2LsNCp}Q-P`jvBqbeP{HqdDC7tXPZq4_W__xUM^@aai-Vul) zEOkuRm;5_c^u5%6I<qg1+u@@nLa>GMj1W=sz0=CIug0L_`lEJ5O+1RM;)p>U2RGmQ zr{*u)1g{78<=Fl5m$!WN4CC+-((vyRV7)nSzyD9whpb^;<OKS-erwCb<U23OhqUaU zJ2dy7RytAIt@-K0&6W|Q>7#XC32sjv9kmYF({44%@xjJ2YbNTJ^W;FHx6GNNy;Joa zO5_XiNg%}UQO^wb3LV)0%~VsON@7R{?sAgUVNtq=LPm~O#Y~SisJ+2YAUM7XA3evY z{LJkrFNd4v1bEnsaT4O3c=JBlobFnf&=Zg4UG1h7=4zY;vk0jCYLD$9%2^Kc+1Aw( zJ%MlqS&(jZQ(B>ch?;iQjNS;g2YKA@u)-M8sLr>NL-TuXbZ^dW>jkQ3v>uO<y`|FV zLnR}--#C5JgZENRj2b0$c`N#ZUx+_#{vro?!e<Lqvjb2OZc1aN=gb~E)S14z^7*Yr z+n@Kcvnmp#bVDTsijDr=X_@|3f1JW`F6_H%M5k57XrsH|?Qq6zMeF6ypzvv%?D3X} z>Px;=D>h%HMfjl)_I}*6Sh7F;pJQ4<>Q8h?^<h`OO_L<vp<k!fH?_Byz8mYiI}7_E zi47dc0z8e<n`}44#uV<~xU{N%iO$z{{L5?E*ApbY;NZ~`@(yD?anAgYzQWE0%Q-BU z)};|#vF6c*m9ZH0V(v@QuWvk0%s<i={3ahtD2J^sVs47aUnoi*8VHVw^2=A0`Gd1L zFShJUE7itZHnVlbKJUGt^xbtAYO_U@bi0?0vI!7ePnNGyHJjh>%tC|}F`w1CHY#|k z+L~d@EYMzosGCnbrp&s(DtWYw#(63EeSPB2lGF!(z8YVD^{KlspSymB;rkFu?bY?C zm)_~CY)wuQyqu}X9vK8kcDBrVpY%_X^G4E6`S&9a{rXpf7Pj3eL8pgX<B2!z>g(W9 zl4(F~G2gs3K0Wkdqku%hz#BYts+c=V|G2?lEF<Ju^{Vx}WxmrF?TBQt6Y9?+6fD>6 zQ}Ci)d7jNRy{NRYMp2pd{;$R51pWQA*vPs79Zdy%y5(m5SDy)l)ISIt8gIeP(5QaL zz`<uL*-I8u9&<F#r(q|5-5X-{Y<v)nRUZH(ZQC#J2975#hxbEEsv-Gl`HOvaurc3^ zteKx^!aMLkYQ^~<-g?aTHq=vzQN60Y$>;pK6WQ-Ug6=vV77hxpeIpm!V-Fjp3%Lx7 z20O;=rv2uGU7{C99SPOFZAJU0aj4H%grht})gSb2lSvyvuBhFjzj%^t4EbYR%;$mb zytiNc!dG!DFaep-_Z~w@3KOh!t*moyfui3w{@!nP?K}6h-Ab!zMyp;P1a&X)o|j(l zNwDX@Med~cACvpOu3Su32#0g4(<!X(q&(h_^{>$G9~Bgr2g>YKKEzthl~*yV=0h@- zH6L3&iea_dPrS@_f17Nnq}bt`?eRPC-$`%c)r9gi%*C32S2`pwk-I?y`W%RR^o8Jx zV2o{RY4VtUbswUtcgS`6$$<Z}T0<j+H;vshmET@si%^|65OaCibDy0Avu%2+%n~e& zh5`*e+~%KQ+{>g=@+y8(aKGOmzjyBNRQLM&?oT&uccOoSi}XjJ{_nETR^9?DK;}Vt z>MKv$A00o(Sh<`#;kFlE$}|!^axP!{gKR7Q?uNAaX{W9ju57Y9Z_th^a4F3#ss_aX zTm}Ue#T3<{*6x*?hWurD7ThwKoujdOPi;TlckPZn?KUG5E<KC$3cmdGQq9{Z3x;<l z>pbf6J4H;b!1Mc=)$slWwte`{EMUoVj8MEzUXds{SNE-I|1J)}`H9*3Q}@wUQr3s4 z7vc}s#P1(UkPR}v@|053LkAks6Y}c)w?1ceTJW{V1oYf;N**d#@U*_ye!H(H;Z`Od zt?xSD{|T?r7Hq!Rad~Q7+HYA$K%Wl%4*1RTG2%uyn3KtW>jz)D8gb6KJQl$I)s{EG zjh`bvNzH>1v1%7Wo@*O-G?I$;Gwi52PHj(Gdd;l9f{wn4-}{C7=^;Lo5<hvvF_v2- zAujl*>#xdCshz%G-dxlEW-uc6n37*ne`{tCP+u2U67ZBSalB8RxD`?S=lD*ZN|ip> zK*9BXUGqz;X%4dy@Xfx2&$j_h#pWVT`~Km2X#bT=ult2E;7{vXFqvCJuUjY%?L7K@ z{WcyVh>qv7%ev^7ACj^E+2RF=1>!gt-o!}pyJuj%m2y83aNvZBm&<_}QV4{DUFq|Y zXP<}K9UEHi_smWH7uPQs^D8^?!%NzGp|-u4R~p5xiF|{tu90Sh)=r>MN8cVdcnP0; z@77K=er3%@y;v+rpQ^3AF0dX`pdw?lW%9f*_h&$b_l?}~dSA=AbG@_legRPZzxU)) z^Q_hsq|d^dF6-R~1-cJ0_*QzW!`ve0k>($cL5Dw<l~pSQt#|T15c<rvhC4dr1MByE zz^jv-anslP!RO|jp6Ubg$KU@P>e~eS&Gp#ZuNkwHg9VL^Cuv?QA{kSQRcM&~)4(nF zl19ALNU%`ka6NqAvf+++jGPrBp8Skc88v!jC2ld}QjxW`QW_WC{q`w$i~F_eb(0{y zQnikj$}r_R88Plkl8VZQ`P+^th$rd8SiC4JU9Uuh($4e!iy<)ZY%Aw4VWpVKcftZk zzkB+j{xZ9l!~C<x0oE2Tw(iOC4?^+bZfu8*os(vJbP(BbBsSaZ_Xdi))9J4J<|s8i zy->mqS;)jKBY+*(gEsfm{u4xDt~Nb;R#KVd9lO%k?xMiENF60@$8p+w=Y48-D7Y>j zIRL=eJmz@{XKB^+CJ^LnPU>J(D(awni|`CCaXfnd)ySozg^02GzU)<ood_s)oeTbR z1d?xi6dc-jj7+{M-$4;;f&{FTKD95*v*m&xX{MU9cYWZev;x>nA$uo@MST+{JLKiD z_an4HyDs4a4<)70CF(S3>KVwD*?%JFUSt-X+>65llWAcPFFiS3_(VG%BSX2Y;Fl>c zl8i`Qq`eiEYUx~ubW38jDFxesna=luTe)-&j4`28EDjOw-20xBu{6xq&nos$q)znA zc5K5|jO-eEpw}(vCpzge<65$uLoP3T)gDbRK#W8YT6CEmqRgWyB3sJ6!r4!f=QLvK z5kNqj642+>PHXianjJG~+C(%VoH#WCpz+M37QqDd*dtA8Dn)8h+F9@xt>0ds)hd*g z*T9{w`Up7M8D)NBo)bO|!fXs*=Q|51kh*s_PmNZtK%HKXDiO(arstaL#qEE~hl5Q) z_$J)TgQ4ubJx}Z{=SG|+@VUTrISaU_(zd@dXFh~+52#=Js(^0w1FghQEq4!X1*p~0 z%lUW5lp^H&39~(tg370T0Y8f3U*(|Bt0eT|<|6ad%y(0}<(qM3Url#mn0fK)dYrZY z9u1<?AOMG37wmpJ^Bmd@P()??d!GTud;Lg0(~j=#ae@NEb`yJznoRpe0a3#MkM$bO z_#Vk;K8?@lk$-n~lPc(YL{NeHEjVjF;_?N}h^t2a=w93;`vKvz#&tbQ;Xyf*exu5E zGq^|#yjrlHsH$Fkc60;>$ndxQ%)&TD3~WtuB<=U4I%2N#>Z+_We;9NFNZ81V_`d&^ ztHvlo#hruo+IhNkQ6d<anS%+>wuH@rf@J+hzIS{DPPe}F-PEbL^;L&}?rcqBv5$5y z8m4UPTw&(UEw27`iSxX#ktOdll^cc^ewh99DP?&j)b9Mdo89XxcP@Pte&+K!@%eh{ zmz4ItEcw;+Xe4Lw1>CI_i^f>=^Xpl@1EB%*<<#Tls`;(1`e1B#79c^WsM0jB3$`_r z&-~>fZMO3%hE0op8Ggj+@XNS+nfCYQ4COEe(hk&OUN(2ErwtiXn<wS-YR1!AdM;?H z!+qKh*511dteQdOb0=tF7)Uw^7ypagk0M#iQfe-Sq-LlMX{Q6&duAaFitqTQ3=77O zK~TYUVh8&NwFv;xHVW%AzNrb{wQaeU%VErl#jbxgKa%St9WT#dzT#URcIwVx=+hLn z0@8PpEkuVrWF5KR4|3EJ&@F_@vwIC$a&;}bb=GrI#&Nq$zcNJyWw@v~7m0X0So4K= zSd(WD7q~N=IB?**f>UKKQhI(Pd6N!W7o<kC1%~v19t|$8uhnQt_#27g-;d1rdwn{| zPifm?uU?t=Q1VuYno+}ydo<f?#gWwqIDD3lQ8CdWL8*G6#JnGG?Z2D$olI<+_~&bN zk4#6nJVeTJWp2T&7jqc0X0rQwEWEzLd|dG5%Vk%o*kB5vaB}r?2%Ssz#ui`M2yE@Y zwkdufdHegzkm<!gTG;vdS)@X&Q!bDueR5AnR7*9MyLxw4isN}<o-+kOm6jL*&smp- z(!G&|#bmE2Da5)sFx#nfWF*)%#`O8R=z>y6Q4F)+^-WoJuJu&czKPTYRv}%_Pv4^z zTCAsB+Y><x9n^hOFWN1U4ZxFk*OqGt0K$eHjtke#S2j6Q!)VfWlq8!=q-auPo-P|d z(FX4)ugXdsJ>SS@Rl?lb?%E8|LnF3oSJb?)dyHEKC6yCUp+G|D5<x6wv<G}U6CmDa z{TH{t{-%!o8hVoX#zkk>b0^w|^@AQQf!;fF>ZU{PRj|+Nx|O~6DLCHKD15?y$hHpD zjEDU|KINm)!YX*lo!a^g(CHp>bcjRXcXK5S14$m@5dCcwd3BgW0+_&=hN%RqxPCW% zR{F|amlSbY@hW#l?FuEZN$x_+s}751@8?&x6%_>noU5b}RS6L;oQ`Fo=oPbzhzdmB zcDNS{4StDA=ydB`4sl2c=Eq8mWh}iLgxVF1Z(m*~4%~l{Ay4Y!fllY>67&5%K62`{ zM|kk7dgUi#Y<i5qz;Nnlw`_#_jJ{d&Qyy{plxeDO=-rpN_Wr**z}qsm1#Dac@f$g& z*J)-=B9)S6mUZs1+=1>PU7GU5trs_R&onPRMQUa1#_}?1(f85Yj*5`BrT>O!)S5YR zZSoTa_81bfiFR~Qyx^0LyO}(ule_3&A8r*-ECc!bG(&zEzz*l+F68%6?*L&*W-V($ z_T~MSuD$y{jsHss3Jq|x+I|CPwT`VMlsLg6h2l!997b$86)IBBJJqI;C3Olq#TJ!m zK_GdLehLvqz0kGbY&+(JSPSk-<BQPH%flq2HK|)#Xw@IDb=xL_C*ySAMH$~OS_p>_ zO}8)q?zs#6tx=}YH>h!~1abz!)2uUcTltHn5iwyK*R~!D<5XNFOoj~dTabq=^z)u= zPwJ1sck}@9scMA8FmBhSJb({+!;YglqhVX?)45Keica1BB@Y3!XeBH1eV<8iT6^A^ zYGi42j63X&uLe3VK{q+<)t^@em>Fm=$&?`PIs#zr($~v64G1eWi?U1qiMoM}B;&WN zzTvH4>DKE;D2<B}CR8b$r5TSfZ*2zT(d8{AXa>X@uJz=K!QI;5iod}xK-;EUSBCX7 zZBk!;#W{Lkhi<m#)je&MkKP?-2NT*-!}f#S2wdy0stEV3u(D4G5aUiAU#V9c2N@1S z2Ea4CuS|UNmHodg<a!<kF3IIC2h&5KEf;!KJ>o^>Bs?_*nmRzM7sckTZqElZHS-{k zaY}qe@@(qt;vWpww%ouN`34pI=WiD4xVi%Y$-sflabxksVE)ug3U$f%FD^WrkN3oI z8&Kdb3%L!(tClXHXO&;8!CN!n7&Rv?CNQ-hhDkx^w9Olr6-O4J`D@*3c#Ccqx*;b6 zY^m*F=@hBeum8SkFv09{fIvEk9^Z~SHPVR4ja4+i3djDihZn(d<%K~Ogm3eSrcB!Q zO2vzrZlvwz_jGxCweHz5x18krfs{(STz`Jb4a&#e;jt(9!pd-WP4rF8wQ4!Gm!}h7 zPGm!kIda@2f^G?Xa$B^ce~le^>rV7DS`Pg2xST6zUQ{?FB_`Xi<N7n|WNX})jA7yC z#tN6*iPI%n&tv7iN~xhW=BIJDN^$=IOdhmnG<BkdZl9IueSLj6=Kef20?9SbaU0lE zvaAMMC9=alG)c$LzL3vKBVTMYVT#6!AO38D=GyIu?LT#31#1suSfq~dlWX-K*H*XV zVs`q)v=@hzbNMuV4)B({rN_AjbBH6Wkf_#n%x)nos~DwWE|jt4(lFY6F`HP7t39Nq z<-Dz!SK&*R$5?<Gq0@He{Vp_d040Y#4v)=#a_1VpYc?d6ON8V%gyVme^GKn86Z*&3 zK8V=dhq6>ssG@uNg5c3DrS<1|zQUCCr)C9kG2Oj?t33m(eM`qDNSlU;92wUw;Z&d7 zE}vvV^Hb3~M2O?xDt|A#HAR*jyy5e)j!_@}M@(Bd+AlC;Fs|c#bVbYL6!0pfGmqd1 zB=@`SB5V3l7A<={gFQBf$o@;vK!rlh9gWG=v1jDD@U@ZVj+%oXph)a1l@U)@#b~;c z<J<R;3cSs#bx9!YZ13y+H`)GeyPTk9Z9#RvgD{F;{j~l04XRG<TeUs8)t9#}l7*pN ziyH-~$ouy5G3jNUN>_*TfZGRdgqy^LUpBB@<}X&W6L~m4!hZ9D`_|C}VZ@_0(ZFyJ zYrS&Bbxr=t_>QUNSAUY#_~9~GK#m&qHM`ow1GH?|E&RT)Pip*haq`Hfzf5zSL}aYR zl^)=Vj$icn(=Gf+CIE}&o|2TMpD!F=2Y%AxlyPY<VJ)-kJMIN=xH4W?j^{}Yv_8=o z%3&aLeV8x{{f+$EDz`0+?^?CN7KfNHHsi-+m9^ljRSz|NA;&O6b48286jWX?KkZtX zzcfT7tkQ~5B?fw8FTdQg#bgZE2(i{ks`jF4te+Ld&<PK=_$kYSffla-Gw4=i7xA-n zh+sQ-@)O&uz&qWb$hJgtX^e`ll9s~%t+J==;C;nBuI$#as3Ycx7A%Cqp&R+L1I}7s z)Q#LI+Ca>m8H6m-e{HSm`0X23ZoQ8|^~|-neR6(IKEGMbmxUZZ&ou~xMnzS`{`~RA zQeHHb8h_-nTS|kmt<AL$$wXS#2Ev@ZHl}0_{{?(^|K#lC0wW@`sIdr$nti{)*daaf zM7?p(Y4DAF=TRSv#w2@UqK+!cSWDKJ6IphbEv7|qCT0m6FApie?n^_YP;>iOzCg41 zYeL60x~E~3)L8qS&UT#&rQzjem%1d+*ya?o98F!p*zw%#*zJIug>^n_XosGVjh8P0 zQ5iCA+$1CEC0`YrS$sCW0tg$0*?-LiTS!IiZDccmJ11W-tyFY`NXPL??H6Z277r#V zPf3-;Z6KKFz1wm2B92j05Ox9(ZE!eQR(SHx{bUEjzwXZ78`Pck6PSi8yZ4;dwW=wf z*@{R-$PiW|B&?$$6=~D`rdrN7Dz&Kjsj&4bU4aOH?Qn|JK2l+tgBM_wxV$(>(Y)C{ zO!Z0KdDJx~#ctb1$RvK%R@g%3C$1}sk63m-E!0D5QMc@|`A#L~)4j3jG52POVTIjS zR+rD()6Ic)-qbMlSsGt>4te&^&*mP-Hu7~<I`rb2YNJ9}Ku7a_I2C8E!%e{P)D8<` za~DI`3HuC}n8Fnxb_b6aJs1z_R0*KLXWSQ)*f_I&A=HiS0M!RC5uEsluXSza&93YJ z*MmLa6Cl-QPDNK8;3gI#dQ6UjQ+JDkX0p~f3qRCukT?&zq$c*R?nM@nSU)mh>=!8^ zZhTxib#05z6MMBu;cnTV^EZRbmiNwh&#~JJ1MxcTVwl^VM_|8S#2F~tBmbRyt`(+# zVmp|<d@S4ni7ifpYab%LXE>B>vDE&;)12MK5hnOW1#$qT)D)2iw?FipqUtixnw4D< zA}(%PA~X<-%*e7wvwUr}wM@ul`e{hM<^4l!0#t~m+xwvOV3(9c89wZ#&j7F)5Cln{ zIop}Fet3>0tq)gg(<|*)QDzaQZi~hjS-Uf|sj+KSq8&96<PwxU+rEINL*F3j(o3<? zN~yX9RN=2}=Issd717>-;e!sY+Sey4L;pp~w%;QfG}}L6$2UK~4R6QTHxiz-zZe#% zX3x~;cW%~Asqm8g?leI7F~E#2X;OZ93TQgN>6Ou;+Kgb#z#)9fHAu0t=E05qQK=~6 z;W6nI$MiHhkMU*a?KEp4#z3=JE~F9MduGX0J#2U8KHR?2&!}0TTyKf3T2~KQ+AfS& z-KlYl5?S=cw)lqUQP1UBptdfkS*spD7$UMC{co#WDYm9vxvgkyte|+|qx$m|z;9-z zz`LU)GJHR<ZGFxUV$G*Lq>n{e>qLfvAK61>Ftif}Wi39nW^v8FzT22hKO-cBy{%Gt zc+#hOLA$~V!hi-T(tCxXHggMmgIi{td|HV3lomvVs&CC9s)kK<KTH}45;&=dy(qT= zE+Z`WR_j%wd(UdATG~l#5%4Nt<$?`tw-%zQG;~ijHQAoXztDQ#Ura!?<3iuc?%@kq zg2N97Y5U<FS8-E5)#6aldT+^+y86zcnCAMRz6RQk;JtBs(R-<iH%5if-tSD-qW^rO zX@16LIa}{qg~F%N_C5BEmSr7(bqr0FJz<u3b~<(|%Y)?j4u-DD13h8Spr@AV^;foy zS55aRtSQS7)WZ0nntDpe+Cijr=mOUfyRNL`j|F)j8_ljq-ksaU%^%D!&c!^PnMSqN zm*D<IY2-URmu9gRT_ptN!my|C_PjHm-e9+_@%p)$??ye<7<83H)UC@+3k`3g!y2cu z<Zn|J#EP94%IxWzntN7%l|62lc)P6v9GlmwZEwGtI+-nhGJoH8eN3@WJgQM1s;Yx- zsq-?m*KG&&XZ9b4(kI$X;H!h1NHm^<A1Hfjrc(StemB`l@N*{-|BZ6{=w*MKRhyK8 zeL#-o>sg)fMZK;2*-u^HjeP%kVaziEw%u$zof1s?K^v3l@D4N}8124+jd@;+(%i(F zdxXAt82e{mi|D(sh2F;dLFy`>i%qpY$z8pO<S-E5r~X@z8op%^BDiN*dmQ+vl%jZb zvg1KSEbq3ed4x{P1k!`Rq5oSJvVy=N^cw})OW;s?I;WMi8ewX6@=Hy`d_>jg*>U7> zD)uQ8z@{kEo#(lbOt<z?jAm&sk(;rA{AVvH)zDyrT2%<5)9G&Rf=$7!nKh)=JcleG zN=pm8(%{oiNr2s1{$m>>Tkn8Qr6}`>Va$}uKrm;PXWwyzg;<J#>iX?sDZj!U)}$a; z4LF!J*kWVKW6faOHaQsx(W}-Cpu#}!?Yz!kae2eg-N{%}!GylZ>8BydayrD-^$BCl zs(-_=%g6+74|!57Fqbj^Fh=zK_O+CnFu2O2AmMw<l5a<JkO%V|GQjFqSq)gda7-RP z@ElfMt!$q^7mN>@vI6a#3jy}=Yqid6k9nHx+A*tZCp?6byxVboJ4nH6SOIIGzgiqp zA}95i!foIect%jx6LD)sR@c~*tlYfMM7kflH)r?!4G9hxXp9Qbctq!o<X*1(oz$f_ zm1I<re4r*(R{9hUd~`CA<$I>LZ_4v#KDlgp>Ifgax`*RWWmc$&Dcr<Y?76168D}0k z61_<y@VfJSs!emQ@``cj7tvqwrdvK+ogOWwi@a9(Nmn{#e^GsF{kD^@KA2J0wZ@(R zk2<+Z-D18bqO}$6sl^Md;Aq#3@|ZW#^1>-fn=dqGuc<Zz2*I|}UstN!Ct|c;#c`dw z&rI5UeP%0MW7>K0MkQTpOQm;vBSW&55O%vD^_I9WUr0-FDr^-o^lj!=QP)_q6v;jG zB_c2h%TwAG`R-_;?vnD}+{?CPe4FtI^0|U?PIUC$#h)ivI5l;6#D@`OPi<G>YI7>; z4P+nktWA!gS;qBwMuW$K#QsBM;kB{XuD+LPd-sJ>ak<HEA9nmD0-?U2eTuF0ycq+Q z*$(=FdEbp$^UVYJwRy$9vFGSJvT`j_;)#XPBlbau3@$8kYmwvbL;v0BY&T~6Q?0<` zAd}6qsYTLQ9hs}wP0rroL6b@-^}bJsuQd%RMvpD-*#-@=k(xw~M-mP$eJ%ex3{0@^ zHr?q6E*7Xi>E)l?lNmB#nml2c$mp`e+^NFI3?hg4bA)#;zwF(u7RUBR>oQi4L5PQR ziW+uVs2DT3Ru&S$cN=)r!}Z5Aw?{X<1N(wDT3Q@;X8D=~Iu_LAblbJ+frm#nr_6xz zHkJ$Wx1SuhY)14@831pwktvV6Xqj8SM{%pBu+f{<NZ*<BhsCm|M;4(jto(OcavG9T zBfamq!DN-tDq^6quGH!p`dh`^^_?GHYs-<`<+f96%w}An&dJ_$CFHB09QT0nCFbM0 z=Pm2FQ`KfsbFTdN2Eoe4s8T<QSywQ@E&$ST*&5PXMFnj<iC=7rI(nk{#QNRXV^_YF z$scz_`dnxBV7tN7neTjxb@8Rcr#wZ1Sz}}l^1Y6OvAs$_vIXM=zfkWw6koa3hXp;G z9xI8qI=U#-1|FbjyqySruLOLSe`M^4N|<4-oh6fY%IO;$=!vePOr+K1g(rGnXvkh$ z<qO6g>6r}07f1$Mh1|ziSG`~s82O(~N7SdggxG63@35ET-WU~S{%)W*Q-{J&#WDtL zG1>y@KJQMqz$JaZBJ~c-Ls`pTgnDxo>nDC5v+naImsEz@@eqv700V=P2Fo(Gl5~Em zuk?sMoG1wDJXmjj5W=HgoH~M72sJ<ebP1nl2`{3dj+s6K(K<SRKk^H5H%T3Zczkq+ zX2`QWza+3vOY{A7CUT8WbA2km_dh&cIC!_#Jk>_;GGM_g`PR24fmkP(P4^V)jgw-P z_Q|V?dFoA3kK_FS_EJOGN#x&JYT95xxI*T%kT3O!M8lc61}sMwzND&*uT7~hcb=EM zyWBSB`<!+qP<NUjsvBZ;`6vVq3dB|BWQg>|3ND~{p;OHYCDoO)SHxxI)@|Tjf}r_B zq$+5iPG>#QjlGZN9gN9HF4EOC1fpxy`6EOMuGZ1rPJmK3!RS5PX%pwfBgK_>*HPAo z3=XcE^^uv^1)61U4+K4!K^A5sBiLGw%+@ai4OJfNz}8>m6ntkNr}1gr?Duund&*Lh zt29-PbJGe|quKe8xll-6I6D4;+lT&dvoLVWrBt^$H;>yS2PLh`BL=zN*0KyN<Wg*D z-8CQ`0Bv&^RLAP5Fk)oIZ~_hfIj7{U`MRYZ8D-PT;^`B@diPcCiy&g>zicrw@MvM- zcSk_lZcXi+&nLD24nh{Ox<SyOjRSh)uUd+qy>%>cnUxtY8tD17A}jTfpkkZmTa2TY z*oqh#tV48f2~%00_+O|LLStDLF`u2vqgw#9&W`Tl7x&|)`|MPoc-@DcF=|?i!^7~; z5kdT9y^fMPm%WRZl`tJqF97y(5`1Y;yH;~{dqkI;k>7R<<0m}UJ#8{DWB<}7Qd0|0 zh`Y=;y3Aos)|fT%G!CRpV@|P2Ao#&jJTjU~ZgKJuXPU}8@GUibU-lG7fUtQ0j;c4h zczr{107F~Vok^|M-D`)S4*y%GVCr=C2i#fti@-4xO>F%IU}(cc)}bzZb01ifqBt>z zI@}{_szVCrZSZ~Md9|hCJu{#BJ`CpIv-_ux^%=NaGeY!1Y`ShUmW?^8Y~UK1XVt<_ zS}?2%U8Z1*?%`wvaMsoSVFwH6o~YCI>E$g00?uU@;aer-Mm5|-JlzNHB95DBrAHAj z*MV(kV7c2E?Q^t-WKNGM6*BVKv}FpgTfkY!C~k@aB6iE*q!1#5qXqWM{s^@@)1kA8 zR0-BpHREUXPkRrI9E{v8sB-;*qJ=}XLYwK3;ZrO-uK-YkQ3%8W7MTRJHi!Rog*Y11 zmj<jy1a=EdHEVdd=2K4g-mdSecc*CwQWmks*|>%fiuT6=p~yo5(WXD8&{@lGLtkKy zjR|xeABs&c81%LicQQUJv+5kxVG!3cP$|)wF%?R|<9QZBUSr?!Flts81&JcDRp!ka zlnkJO&Es6QGb+`hMPEUBmWW>YY#t0^c0`U+YkRpym}8lYl?u67#YL9>h@S{p3RPAR z8Mb(KGr81)IKa50%=Jh9(d8RKj>OjM_JmW9tV_&G3l}k6;oPa|#6}7pZN5CWb@C;& zH)(f%T*~L#>GHqNrAXq>Xc-L^=b%q4fc*DNZV-qW1PjRoI9B7g{eLBBT*c@NU#Qe5 zA*~*$m+&W7i9UxXLi+kCxhr2axO(rRYjCR}s6Xh&`9|$4$Q?odhsQ`~zKFdA+s9yo zSne`cbs^x_s0qwd6r&mJoI;|RqvaA0<7v9bV5tAJC>w56%gBWYp4wI}v;g(|v6;87 z*{yOVfOBy4DyaX_UynL-c4K_KBMF+ihreREv?K2?8`Dt0UZfGIR<CfUgs3bn)WJXB zxf=0L!E==ABBSy+EWK%uZaHJ}zgYF*o^iSLYrRlys)zecgY;c@Gi{bJV!vTiRVPfQ zCFEaC)!c%=U>vU(F?g+|3gEo?s!kN?)igZ(4fFtqa4lq79T>r8XJZSQ*&7HsfM=G8 z9`}5m+oH9vuJSsBw%9=q=PqlMjx{&QKXsTSj!U%-Rb!%FXbLz}R0@c}s2~fZ&fH7Q z>;t3ch0$U(Tt&p{3#KfihFNgp)k4i32s0q6{Zooylh^!Kb<SJ`5BClB-@3tu;H?>~ z(K?}Z24Ba)cX`)SCQhE+a4Ly3N6~q15M!B7QeseXg{d~fBf5tL@vE$!_qPma%5MBU zD44Ei-gYKKob;}jb2V0MIIKO#J7DCRo4R8?5*!t{6{l2@tgEVRsa!JJzx<0c>I*t( z4W@^B3H?M01?r7QU;}Z+>ZsbbU&3u}F~NJVydc{MRb4miq5RnZKjob;DyKA-A3M2K zhe*u~R6zvGLSTx!J|CE$;2JePMoB}|&3#LtuEGo%UOc$_q@lwDVFhZWN~3m$Mi#Y_ zhqI-O3CRKen8im?87`oy<m$4HbGZ|)fg-zF-Y8)3P&s_!&BzaCAW<M&mQ7I4h;_s_ zAFcW4PH3t|uSzdCyR{A4hx@~(87LpfwaQmuf8)60r$g^lR7zD$@LdU-=;QeZE{>wx zzM2>@lVd{q10v6d-kNV)yIK_jwu?z)-!UyiR#Su(@~4}`PrU6+)2VwaV>0#TV9R7< zX@G<N??PN_qil^=^3Yw#jj)9z2v+4COd|+fwBP`%R}7tVCJ=1ko@B7qNElshguYGa zG<c__kexr5kz<&*SrQj=1Js*QioB)*{ShrT&7N5u*eiW<=h0l`r-}h@V%x|?R9`JM z19oUZv7sD%Z|zfab0sx^=hgT`?MO{P`$qz}A7hw;5A+DtXhd|M%--A95KWN9V~Ygj z00bUpVD{(5Et_)BPM4H0Nn!t-(1Xw~xl7y2GseN?ijYfTE;LQ8g~k#%Yf$0x*vplv zHlASv&9d?5E*v-PmuzbxI=m$us5P(o*yxHtD&xouODteW75g_t>4-th-(6PQr~E(z z+9J7$$O~I%<;JILX*_q`zwnt}t<-SWw|i!mPo^~~gtrm(S9iBp(?z3Ht<(;dWI^Ea z+W3lC8#@;+%ko@1Bw6;IdWPofD!8%<IDcY0Y`HYP{A2BVffV_jDtry!1lpy3yG5~C zCkLUbx53UeO_~eW?ci<OgSNXC@)t*u!B3kpymJF-z<V}V4=Ul5{z7A3|MdX$KXe{m zlIq!%YD|0XTWkeb)E?#J?Zmcg-v;0nr2jHNONpORF0ATP>!AgP=fo!0iRci)gZF-| z%Ce;|R(ytgy}730SG_@vR@c<Q3NAeOVKVE%Y;Nqc%#qsCCttSYvy(V*UlOdPqos!V zmtTDY6iX_r=HiZ@lTC!!`=<AyWN&GLiSnl;qVH0Q=v;lbY}!QctB>}}yapfm*DR1I z%N5s@!RCC>>N4d6#`(xumQk$73-;7ZsB<HfeqSnAo*_?P44cS$N#Za&Gu3!8Z#Yx) zKpTH#zZ}tkH$Y~2Fv{02?)!OrU+0r3<E6{4UL^Uh>vQg7>V~*M-YN5UCAtj-#i1+O zN4_71<PMSY*rI=vV{RkGPJNLx+Z^IRr^PU@TUyGaBudPqQzutZkt6dXyhf>TihYVf z$aT*c^}O4l`Fx6S>IH}}3A_VsKmWLPJE?Dgk+EvoCbMiP{K4RIuR25nzvi`Q?D`xE zD<8m2ea}9=Balv?Y{T3Zv=x?RnY8re``VB5fyzoM`Dn_+20gUQU4PxQ%^2V`d(zD; zNdfKBq@X}NuYQdj>6MC&V0D3SeR}{yoOB_i7jkkk*w}wfE0V9N352WX4RZX={NF!+ zgoy<Ffoi^2B_E=2QpRi2RcN%yEU}Rjtvo9f*7nBsOym#uIu|BQ0q;o3#q~ap<$U}` z&RDMLat%sbyK{d@m=E;oN;|Ooeo7m{8O9?E)TS`+Xt<Xi?iV`dNalaIf5qU<e*wi@ z#v1FZ*JEL&2}4rLJ-$+(-#~d56UqthF2aw79%={G;}9L_ZIw!wy?31ZZ2x^RT8O?I zwBzxH!N$?c63<}8Ctg^NRI8eS_d0~@%NI}n_)Tc5&0yGO3S1}MxY-0iXKT$$jcxIw z_Oi-8IlfX;o6V((uTpa0kVt}yjwt1sTTKKh4tctg<-N<lmFLcf9RDrsVJ1&So~W>5 z`O{z>^HjC*;?eFFche8+!j>zA4=e=+3;$SOvSM9Z^%aVaW|;S?LlIjVmuc4yxz@MQ zTgSn7(8&UWL!sL3i`28E?rqcEx;mBG_jOrBOC42+ODkRDOv1=13pRmJp-UFImEm>C zo?+c-frRk3WKvK^#>A<-ZoL7x)bhUe&1}SV?DmUHYpC7zk@)_*<EZiKDcH>jrRFZR zNxh^o0+=;pJm5?iIz0NzSIM0P%y0!bw$nS;+@FUfPN`h9HFib2?}SUVndLq&R|NZs zONn<RzaS*37)XdMr09#+zWwB&A*0i0@QHGG(H6tE?v{q(h0Mm>QK{9C>0!Hds{`By zaf0{eC&^x=$p3cFeB=Y7U&#w$PhS2CgBpkVi`~Q?=gqzB;qPYui4y99R(h2%DrXg% z_ShVx+)PO`ST4uNmykMBl{Y-docjx3rub@^b<w5hZ=K_*WXbx#ywT?D+Qjb$Dxgr% z(2RO*TPttJ@sepBOC<47eZi{5wkAE|<&CqH8a(9MwOiME+X0uHb{BjA>3<>@mP;p8 zbSh}e`p4;RCYuqr)=9yiVU5{9Pets&P!&;EOO!?cw3?B%9lTr=mH~zRlb9-H^#DU% z8Ug-tXIE7flS_Of>ne>ql58I}wmf~{v*Z1ebo*b{+6A&}9y@ypz4U#b4<rvGjVTe^ zVLA&Z0|r$9SL`t-*+3^WYWK%79|IZ?_3H-&hGin*zCqjZI&ifn(OP$ceqkf3r&V3v zySuHY2lSvR3B^%tEY>z#3c>)am_Xq?hvV@f3Y!YqWekm=*55?LQszW88G`H4XIj*C z8ftgn{B(tb-L;;2iieyY=pK)A0Lw+Gv1}4BfW-G?_i%<~&-8qn(H5z<o-=b}ndTxN z(Tr}pw1*xo_mDs$S1@5JCo8$T71tJn(baAdwD|x^Qrp)24h=TL)O1&DJ(Q60Kxm|~ zU?FWokx3!oJI#C9o_oOoQb}CluDr2lZw0Z$=!2hvc6{rijOrM9CY6R_|BS#a0cGwq z+(s4X4BF4c29n>1GUrqu#4_W93+MMov8=_q4aB_LBR)v$C)HNLdmnUM-TnNeXo4v< zPsug<3u=Qir72y<PE*hXC`)a(6l?qS6&CBL4rlJggft%YMd(oTHx1w`<W%jfS~K*G zt^wen()d*95NGYoxJN0R{tOt4IL0stz)Br<np(IH`R|vlGp368^;hR1u8MVMu{}WQ z)mhqj$Kw_RED!TMGUdbzOeO{{Mr3a9?}w-Nhg->ew)GE^^KqzE{}SgMr0zHGieaHd zzc&bIieRB+urJAK$EM^!X|L$s@;)-;<(C2XgsMjfQfVUNw*A&FfbOkL4q0jipi6w) zmB4mI<fNzT>cmg^$yGSk1$bKC&V&c9$L>uRrW_aFSZ7G=GhrT2H?{L4=_7#+k;FWz z5@4_du5eXzEfI$pBX{|GoX0H>4Cjf*rN_>vE9l;c2eqAylnA@jZsfVWP01e8+mJVB zc#>pLHDyg30#>XmrVfOa$VKUJUj^zJ1lP<BJvpEqxwnln8z@Qsr^kPWXkgop3a%j< zzATb+kqxmM1_g$jC{dk=T@=)xHv-ioJX`CS9P+v8yt#;Ofi)%ZP$iuX$2K*o+0<%h zS|eiKNM22$v=w;m1bUI@5p8s%n1v|3S4zZ8Ul`!vU;lO=@ZO(~h~<uG!n&5WnJeO= z3s)x3KJFU_xR>2!h=Kzgxp2V+wT(FHTGyE`ZK5^T<qJhpm8&XXk+Y5Y%mCKMw5OGY zQ{;O@aoBl?6o`>apc!dB6szfVj)C-M?;<M5D=1p;DaQ4^)%H8Y#lj=>_PZ?v3kN*D z#n@33Q2|y}4QNvEa3OO;)#Ol`dMRf~oZ4N%veaqIhlO7j^5tpK$Z=-E{jn;zmqpn? z#Y`z&H5O{^0tXa!BbY14;P!A53)c}61AY1H!w<TT4TJu*(_uX-IfmN+N;w!>Z)fme zY0^hI`D;?UAs?<|X4GU9%-q$0d^|6k&m4Q2w0CnVRWz3TT+5rGE4LQ>xI<_!V2g+# zl>;Ne(nO-@X67g4gt}_HefZdOlT>E2v1`{ioHy;ixj9%4`=|;O?uL(Sa{hPg(>IBO zZ8gN=Cufz{eTmYpzMc(<y5=eSxqaGmrV4rH4C;PI!DfmFkJm)EK|c@)<}>@C<Oxx| zxy3)CzCClz)O4`;u=HTsE4SgqnHu5HoD9K~Oxr*7oE2(?%s?<*<KVBNGfT?QnCW{B z{hrqUTfowNWe(aemI>^q`jX{Ml-s~@3(XDS)!Kly)$;&MRms9DqM`m4OJfS5AJI5q znvx?I*CG1my>qLiEui|r1=}l_<!PVbj<>BaDhgN3G7!s5y>w%B$u~LGxXmhL%LJG7 zIAWAvRHL_TGf1#_Z!442M<pFwBq^y=Y?1n^g_M@Omqnjbqti`#oMu;)6|k$Zd@NJu z)mC|u^UP=^@1=hqPlOi>#;=Fe3#sR5W#xX5soG>swLVeH0g^CNNc}2Uljn!Ue471t zcSX|jiPm(g6L@l{RxM=MGH}KJh0ny1zpeYf6G+MZLn;xhPa@yfQBTC8RE&BJc~WFg z^LQeDOCAq<F@&kQnGxoE(%Cly6%j&;hn4I<L(~n0#*VHi?S8tBW9Cy&^wYP)D#p4T zgICw38%HJpMnF_<CEvw3zej#+sUBX^wVz1y70TZ>%<+9Z?Fqup3oAx-g}5HEZNo~& zIw`9=j}Ygp;zZt!8_cy<o<(jg1?N+q`)$=!H<SB&1?&rw4CS&ujO~1-*$;!QT_tzN z9uwqd0`x8%ZY8x%W+;{vXHk9gJTvnGN1gqGqc7Xl`9DwnT3FO8XzKp}yRdNDY?B;P z_n7WHSl*Ov$qfBmp}e(e!|tE*BUKv|{vH_nbx{8`8c)1m3Aesn)~q+hgtZHipuLYo zhk9g$-i%wAq`WfbkVWPnaXbLZhLmporTO-gV!@|;&m>=~_K%z`0y>bp*3L#krj_%1 zu9=Eo#{;^HKkTJ>+CUqPo+XXk*CN*p4p47iuG^4?>x6dm&jhg@K4gJQg@-In2)FTl zmyd*&`H5?-W>DhNsz&e0o7QJ)mC8|C#OpA6utv_zF+vu4_8)ss!@$7e%ix(zQQhQH ze4Z#_Hu^opncAWl#@k;c7`U-AnIRn$aGevM5`eGw1;M@Djuk~{Qh`qR`PbG(b84u{ ztjbS0jstHsqD$QpL?};oCbxp+^C(u}0nB~7G7|j{;&hh=d{BMOn^<8<kDkBk8r|Na zFA>~Wms%?6f+-f{0tV`YK<uNE3^Ol6-RjAYo_1~FtbK#j{W*ZY^oHth!u^FfpXRPm z8V~AegDDJGpcGOO-FVYxjH3>#A^IjVa_eFByX^oCXz=KPyQpaLat(1~HnP?&)MIy< zXHTJCy)!KQGppc=X^V}m`m_F^Q^p&W_<1?|Yqm}B-&4}>0)&-@l6J!2$r*HQd#$yR z+#8}1ufml@y<_e>(3jn=cai5c?2iO_BhLM(DHkqv2qQ?Ngi*{@7A^uM*a5Hv3ug_f zaASq^In~;=G(MhXWs$YIQbr=&rFkd{CBvn9=!e4>zo}kE9d8G+zG;WgMSbV${W4(v zxh^@+j6Mr!Uq%Mccke@Uw7AL2-uFK9C#VK4yR$@#jLLE_*Ju9d$q(Js!)1$f=RZMh zniot=9BoAEX1!u23NwKBpr;p4`y56He&5{{K8cE?B$!H%_U`Hum2Iq|MtdVMxFJ0^ zn1`t88R2N7G@K{Lfp4p!Ld0AaIytNwNi;0FdIG4}Mj3F|%6vX$k1YGbv_W7&50HK5 zj8qnixNYo{!H{g1Hs$MiSFau#GZ3Azps9_S7bRDyWPNbV^@orewLeu3C?59nz4zv5 z__Z3>B>`!1_qFlN^zWSUH+~9r09mUX5?Vvm6Uv_#9gAo{uG-qXmuWz7r}Y(qLt;>1 z;H1%HG3HI_11mI#hTRGL0gY8CqOx!MXUdiEd#1MSN;2!h#)7qfya_$F(8!Q&+}kSC z_^fi6%ip;9x^L@=+3L5>j4VC^f9m57#m)@kFAK`bNr8;H;1G5=BrR#DdbBFUpgTUJ zYc+Vs;ebyxS6k>hs?8x@$*_rZt}r2X1~AD{SC+r-)9XWxTu`?U%e8{#anaPa{U=P* z67&8@GfT6a{>RWYMJBCWhnk%vY82MA7?qYi^C49WFJHF!W<Whk{B6%Z)Y`f7EPSPj zsPl2{2dViC?}COwx}!-9-mX^)Lrt3Moj$DL7J&4#r%W;Un!&|W0N9u<f*%+G^Wrm$ zHo#qdJcsB>KEHf3mv)BO@TyqiIdFi~=_-eZU|Z*`TMBt)31m>ntS*csbFC^$tSr|} zob(kN4=ADH<v#?|V3SEY3E(1C#u+6BvG&sHq|%;9ou<cSFV34Tgs1BULqvzs8^cdQ z74ER`c_|+nW+MhdMzNBSGH<lxn$LXn=Jm_uwU74<LCsty%?QIa@)uV(Cnxxw-VU9% zgFa78%$_mQpTThlFzwx+DzX0q5;dUNuiRz*3?AkWgT+yfZ%<u43k(UBXhHj^iaPJN zfW5f90yhz@?AU+_$DP)Xgae#@i)*t|)Eg+>sMq&PZfY|4EQc65?#%eo!qAyJW;jU; z6E{>;c1>z)ROB&jD_4n9zbQ7iC9XOz*8jh*%{(gUtc~M8OO0u>(#g!FoJ=zm_ua5< zv~-Zv7&EsVoiP_cGjT!vRkqk9keN{~xuBU@67Cz)rcAjME@`=tVwkvvC`b^F+MM&g zb?>?7KIi*<pU-{n{r}b>EvF`M?>Y*>buAzD)F^2fxTR3UHN_kG61M7($kx1NQXBL1 zI`gt6Ex|Ws_k+Zbp70Y>MTSPw5ow7S8N>h3C5E^7U{pvmVU1PLTui&ju7&-$MPLde z7bHpVc1w$?_Ez{{UjN$}gn>w>C9YRii;PSFM;2a&^ur>g0YOqRX6`4jN%FNNhBO_R zz$_k-HP2+>b(sDYjK%kR{HI&-F)y4-=iY082qGncDELaOp;yGmO8;WThFJBIJV>SX zfiXT|M$J>F1B8jX?4F9O-FE3s5uYtQc01t2LwKcodT^95QC)Oy&@aS=GLwjXxmR*e zdRJ@?b7fjxslv0jfL<Omm32@2knDM~0A0}F^~!2Mf>pX4v=1hswIUs{@W@b6qA5=@ z4p#$%EivXyenm%|bxw3LflU`?ruCq<+Hcg2yH;-xyX7!$pC$~ZcRcR5eS3v-AwAY3 z_c$NV{}NPC0iT_{LZu;fQ384&(w_f<*EN~$@7%*Bfq9gBxleJXaPjT7(RFb_XZ8EX z`Mc4(J5+yb)Yl?dp7e@I$#Q##h1;XbY;L%cI(>Z};Y5dihx*oq7U8K0q2vh^G(A@O zu`O!2$ES$(B~+ozebFl?p{Ww56CJrX(m|GumstIdczNyVT%}6BizlU=y3G}_Lo4Yy z)Um4pw64i07O`1$X1sleKdDt!<|eFLi!jgjZ`goPX`>Ea)?Lf(#T-&r5KQdN*F@%C z6|i-;h@+d0tH!Ver;?^D&E1R{IQ7guxBc;ObJ|65mHs3H^l&8GH=(De%^Hvw(qAX{ zAD_ggi&D$qyEjN{yQ`;0XXpY<#kGdvYEXgk><+&+kBZN%c;n|y4@n*`d!K)&L|wN` z1}7K%qlB$_hW4l$(w%|c>Um)|=Bb*=KT<V_MW^a<tyg1eTzlh2i20>7U;2I1L5FrC zVxU&pPdeD(BgA~X%Qg7fiL~&(Da~53$Gf<)h*VQqS@of6G_{6q37@TWGhtYQm-%wE zYs?a#dz$pw1<XP9OS54$`GgDNs)^4ZP+a}j30~&{Fy#-$=+sfqpKOX>P9!zy8XY2^ zq3}~O_r7Y;j4?T>%T#Daq!L}4KSC$8ktt_k?6R?;h`?mC{Mq$y-_`dwWQ@HbW!Y@D zk`bADdE)2CyxrG5O)7eWXmZX6p%xKzWY*$gzY8+6&Hs46I(vJ_aMZBW&M!vYvB^ib z%B^0rcW;g-vX|k;?B`#2Esf#ON?Vf4yS$95S#Y5hXM?utoyl?M5;rpT0d1(e!ohOL z3NA5p5E*tbCfClG48_-LvaGB80xHW$o??6Lwapn{#E$7VjW!^oZMK6JeAGu)ZJN2e zNwtl+Z%aJs5s7dO7iH`^#g7$M{3%xxkM)|4FFk%!>V#iykWYkMM_gJO`xbVIaz^ zBTFTF`}keHNd|w;kvcXt`av>lI&;okaYmQq;eCA;w}qj&jXF*2tiHJoy{|}*!rPg| zF~3rqjwwogsFaC8)_`|uRic>NPv`gIL&9SXb2(XPa>A&EpV@@Z{Q=vXOr9lpp`=wS z?6Hd4@ZjO@)C^|i=-`KZO`}Ck?B9u<r!%z1rc7b;yoUphgmTPS+FU^`KL!jjwIqEi z`9#t!pV*^J^=tg)?DLe~nyY0w{O)<_ji^H#hUVAb!i`uCIf5-{g3yCgxR5FDcZ2l2 zcMFejiE~|JpBXP(qxt!+Uq%CwSx(NUooJ^$S4n32Q8eh!Jlnz$XQ%GEAdky~urQ`_ ziqEk=*HgGzjqa&?el|wz64aPs!NorC*Z3|~3*^iZ3(OfLGxC>uefd)t7tY1dCh@Pj zVc2Z=_Tdv+H^B%4$EZ+aYg~AetdA8a81Fl1i<^vC47ard7d|J93MFETR7rzmUMf8Z z<~x9seG{Y&T#3^f<`*Wh=s>qaV{tw;Zn(v1B=$?&o)<?uSvML6+pAGBR`2bTIS;mW zzN_ddxfFUL=TYaKOQ8X=+%{Up*UIbh>#n?R^X!o&gX@Yr?jmLqypJzbA5p@V5Oc1M z-8alG?P!eBwg{$NGUEqxFYVFsL3|K+LLUp}8Uwc%R`3h&&l_(WxW(|fYG@pv=lj~K z8h`#BW8v&aVv)2+VvKVPcFKLehJREC8@*27e?YTKz^7kDI!sNEjkV}|ZB$iP<Zxd4 zGBO!F@RKe&RS*!A#d*o%^GEw=cBO$#*??5Eu_;j&#)+L>kV>x++u2F{>ZduX*u_k- z7<=O6iOfY_pdLlK^tH)#;ysR;KFm<#RxN~RA~A!E>wfV}{E&32M^mB%PvA{viE<PB z(KUlf+zuYGA5+pa-1cnt^R1}h*Nu_+V6^?a5@dBNW1Q0eaoW^Kh@!ksjg+SFvx(QQ zG=v|Zq2-0_as<~RD7xQI>#Z0?EvDvg{?!ViF)2$b*T&wsEk3SB7%Lh~h&lOXM4eOI zo^?pFqgCXem?$ZDDmxI*<X3`=3vq7g@g*@I+;x)7wd`2~Y<g#CMSXqdECpPDu@usV zZp@7j9GBJ>Y<Ymc)s^NDt%Gy^DjRr7F)I;e3ZKaOP;^ePqD0h7ai3thU{4ZW>>vfN zuO183Vf@~udM4LrqBqPfigfv@izkOCyfUI<fty2k{ZsGVEhDmH+KV34JrbAtr=S`L zf_EIc^mek_!Y9ch6ZxVSB&bTpkJHDgbv?De@p|}(*2%Bv1fGF6)3<oG&`%4Zzt6hX zLB_KckVkAv?oc$&_pgeQIA5(+8{HVoYGT)A|Md!GSfjvw5oIk6j8py_I^~}RZh~W4 ziJqd={CW7*u=)pcU$KPL>|P{RpI=CDe|`>-TO|+Kv~&MbP(zT1>{ln}$wQP+-L*Q; z(VvGea<DR)?T`tBSX`~jl6EirrX`9W-j@31boB(K-bz3^!+7M#XcrQTTPfD_vSuWG zj26it@Dh5g4U>lFiksf3zLlGJGXHp^bn%$WYIzkv5r6=?Hv-78oe=iw<zSOGgtHvf z2(=;Zax0O`E(mw^O43{plD8uD>OlzKq+lq-V&jtk=$2<X^FR3mfNuo(0Tg1gb6Hc+ zr<B*30RZY(IY0&YHsqGJ!t<E{>Bv8}Djjo?Tcxu4e{JkEfT*v!ltJES0NG}@OrUzF zIBtai09zRV)_zAgh?fH*qOANwFd=7Qr+)-pUk05^CO<NOXsoo}wk)IslgF0??`85U zTloI)fYks>9SUstj{FL{>i@`R(cwS9k2Y<Lqd+zRz=mr8u>QN!02cX_A!NJu@&PV$ zyG&2ouL90Hcmrzxxt6!W^XbD1<T8%Jc7q?m><rV|B_`0Zv}~5WOXJ&n>1dIM4Ixe| zSAoKouA;bOS+FLbgF%#5-g=blUa@}3+_to!-%9_hom{bMli$D~+g1P*%YXyg%YYSC zo(%4SD3kXaLDsM2kw#1Ty}Q59Z!rw~v0b}+Yg<iL1Hh9t0O0W5k?lVeZzgN(fvh`x z%tb+Q*|%wF*2x2aw>|`b0mdfBp*ZVf;m52If8rd?<513FHiyhyk&cIrj|8C*?jcZ1 QbiC`IxbV=!2aWgr3yw;B(EtDd diff --git a/FASEC_prototype.sdk2/system_design_wrapper_hw_platform_0/system_design_wrapper.bit b/FASEC_prototype.sdk2/system_design_wrapper_hw_platform_0/system_design_wrapper.bit index 39d92f65cca016f19776e688efa58d225f2ccc55..8a036b4617dbc5b22ebd1d9fee5d2f2a7c1bc8c7 100644 GIT binary patch delta 380 zcmWm5H&Oxt00q!pg0d>+oHHou0t=$6m~+mGR)(?tSCHb5mWJT~mdw~XdkQb$8JzJ5 z_$^-f@}(bNUY>8lDdWzt5=l4ixM?R3!X$izp9l~^qKqgfLWD(B5S2s~5hkjM8lsk{ zBkG9;qLFAKnu!*om1rZ{i4LNZ=pwp_9-^1%Bl?K}VvrajhKUFfB}Rx*B1Vi6<HW=# z?5`Ko@UpLV#BUjf@%!*pa)NKy=Imp!U`t#Qk`zZ$l9oxy$dpWrD>L%{vN9+0vLK7H zB+IfQtFk8RvLTzYCEKziyRs+yav+CtB*$_hr*bCeav?ddSh)On58U3zzP()LDjNC& D?unq> delta 380 zcmWm5$1+1<00rQiMlPcF-V-%`K_W!--g~fN?9VH>i!*G@D3b@UGMTY8_bKc=h8d4= z9gA=IIo^-ok*Ax8v2HEfq|zptHpT(rBm6{w2ofQph$tq)giVwXr9>GKA<BsgqLQd0 zs)-t+mZ&4@i3XyPXd;@47NV7CBie}$qLb(%x``g5m*^w<i6{{x28cmoh!`eDh|y2P zzn*=bn|X;x1GZ;bzjqH_BJ_Igo4qgO<1!{j#w8&WGAUD%l$1<MT4v<`Wn@mWGA|3V zC`+;|E3ztUvMw94DO<8FJF+W#vM&d6C`WQECvqxhaxOWykiYnN3x2;}J-WH%Wi0#$ Dk^!S~ diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/hdl/system_design.hwdef b/FASEC_prototype.srcs/sources_1/bd/system_design/hdl/system_design.hwdef index 80822b3b197a1f60ce60f4e3912fd1558032c9e7..3cf6fd18092d9ae482ecffa9caea0474df649cdc 100644 GIT binary patch delta 38456 zcmYJab9^RE&@P<hj&0la#<p$Sw(r=s&5doF8*XfF>}12U&-=aKIe(10>#C~m>6u^6 zRW*s@V2RUU2uiX5aC8t55NHrSH?u~BO;E&tN9np-)VUHv5D+uZlxNTpV9e}K4!;kL zYJyeV@f)35PpVm+<{d8nO8-m$M;<c7NFuOH!LR3bohyFvEj)u*oBZ8A9^TiNm!|@# zR)rVS`fYw*ug@DFxHWtq!0Y()yGOepG}iRhxr4tFp6?aX4uxNUudnAD?iu)@L%ZuA zUxL?5^@qJkm9v0sx6h(gAW_1H^es{$jN09)PQ_^f!J`Dqv%)yiX|p|aX2^~?6BqAm zmjS&aiag5<k*p~+9O-@v4Th^S$Mltp<;>=xiRHw`n8@reS^2TI?U>1R&^5{*xcI+w z)`e$p^bH12jpT4kWS!aL#mSpTO-#eeDNMBa*7mK)69?cM6R9RAfCVE0Q$m1r>!6d+ zX4i%H<*U$M<<|zV1k=#ycos<zQS^Y#%$Ys(%1QFWLd8v|?)7Vj7CE|6mVvpO?}ku6 zpDiooIC@Lpmj<1%oNfOO?**kKc)41_YXwsqUIZHz<{zyFBS$9gzHrfgx!=Oz;A!Vx zF<@4J4MooVZPgALU>r#o4$trHjBS_Z{OyRt@mU8viGGC)46WFWlKqCw{DI=BbgHJU zk%HeoqFN>q9r!zQZPVVb$KLH**F!w?K@IVF1WK{CMnSdefsfST37EZPA6GLbkl7VG z@rvW`$E3M}I}wU6#$Rr+qq6ttl!enNEJ42&<alr+&jMNvfZ@|WQkWYF(WlY^=``EG z&sB~}hApY_K{rCo!0W@boV`5WnSn6v55C*hW58XB?Dc6{zdjhvS?^cokV029MUD^n zHE821TH$;MF-mUHR2Muo!q-p#iRMi1>1I6vdqN^P38_G8Ny^BiN>O^-SW?6%N$J3~ z2swqrkuAO#-~(2O2iv9ZK36C)Db;!Ow(%6cxDlRoO0@*L6RF1ZdK%U7ytoWFqoK@* zPJSvvM&r>ynapHkI(^xd7HWVDk!<{m#1u6+MY`Z~$iCUUT?F>Dbse1cfJ#II4rQhb zX5;p^k|fM{IBK*L1sruTqkLacnICjJ)nDY+62G`o;E%H$E7%4#7}btEarCP}F%gtH zGf?s6vPnye;y7lLVmCDT;<m&DOQc9PF@eol&<^aiz7z1($y>6Sfi#`~`Qom~vc)4j zov8>X-()0Z-YLmA5|#9?SBia^G^VI7_P;Y@OcuqDce4L!sn{oMe~{>n$C3X$W&%~+ z>7W44>r9M1m?T=1WR>W@_P}^D#jJRExFT5!Off8(G{3cls%_vRtq?!FxDb8fa}13h zuI8<<(+}ZZl|Z||6&<=wKR?s>dFc&+Y7Lty7C<)R{Ia`;Ky;njUK_XL7_R-g7C>l2 z`Xkr&dXu0Mk>gd2>%WXQ)Oi}VdM)vH_rV%CAXq&pSg9`h+oEsFVIQ8W^t8Hu*ateo z!U^(1zURWg5-g(0iCGSq<Hz!sFI>;faV_VuXL2H;*6Z@GlM<ZSy5r%W+m4GDi99F# z0q%y?0x+WzZxt+!DE9e6UhKaGqU1xu8zqBY?3ajCLAc1b@~{DLWw23N`0qKvhK^7` zW6!g^mu`Zui|*M~b5L*+=G$PT@<3rA`@R?$HnJ1z9lk<!6+Z$c8MKR#PMC@a(k~&X zolLS9$yH<D<pRX;{Z7sgC81-#bEuStT>=AzIxerIz8=Ni`G;S=XuHE`am-eR?of9^ z3OpfTHug+`<YT40cEFPnTm*=L*4-SS8-|h7E$DsBINh1zUWpFSZQa)Qvl&pz4=(8X z#9c^KxM;->LIkeCof!HKvRGDFNGb$MMiNG)K}(H2#7<KQM;)FV6(-pqrWC+=rqCoO z0Ra})P=c80oGed|LLGW%zENQg7Us0NO`lS#Qiy!MCCdhl0TzbL6}HG+N?Zs;hK=Pf zgXa2T3V-DX+*QDeunxevbkrB3hvK-3a1G|~q>v4Jo%9Hzt|E86^<oLC(9<nMdADSb ze9sxGu$Nzh45zQ{k=B&v7HUB;j|#p;Y5xi;Q2|2$hxt*C484)+o#2cNxo7;V?Bk&; zScDJ+;?nB@*~mEv7T?d95l{*o2_$o3j_h91@7VGWN^zoQ@yQO!<DVg3BsK?2;=j!X zrKX>bV_}CM`cB1GjZa4xa56SK+y~0QmqS-!Pf8QY(*vnXxhG3HeNn7<D)Wi9AAdWg zdh_Nm4V(3fm3}`~xFJ*K1K0D8B)zc$US4j`4ez4$ErBMD(u4Y!l3qZ5eHV%=pcKyo zIj@;!l@f(qXsK#SA@ia&zVX96PBAomGgQf3%P&*gxB89Imfeb=du0zSRl!RA(c_fa z;S@koljz$;FAD)^Y|*%;{_X`p3O!MT*k1|Ib=8L@)L8t=drJc`V-zNQjwC2zedy82 z?YFhnJ501=9&knAG7j|Q%v1K|8Q9Cox%1US>K1m|A!-$jG){Z<p0yo50ceSGuNxd) z5(j>OfJY@wHUh(QjtMR+dr5w~60Yuw{5WMN9?iQQg;m^xFy%q5ayq~94xJGk!pYxA zZW)d&HY1xS6)&;Md#wP$#F4TmRclB<QdCmw-U%CC2aqGJ833u37jZ4dK=IA^G4+N} zQ%}N|Ww>Mpkzc)}iA}|GeTA_g4zSOe#%npUhQnG+;Wd?XX$GA@fhO>K1I3Md0I?6S zZ!8y>9e({xy4;h~GC*fU357=11`=y-Rx~y16xaM6=TNNNLFf~PRtRiOCA|1NgV98~ zE=sb<B*tIQI-r-^sv~*660C^RxCk_?ynhJ|VW9_lg5}Xdsix7>AGMx9Lwq-bSjfIO zo<)XNI5AdVM*0Eq6=jfhav72+K3y4J9iNmF*~F72mu8<g)b+{0uFgn%VLdwAs7J7@ zh8CSblFEJ!O0t+0kwmqhm?8`M4A%C3IotJ^o#O(dGQh%yG@GDgH#I<kU1OGGdh@8K z><^_inH3>vo}Zv5uo@pJ&V;{W))~JM1M_bRxoFkkD73Ow7V{6iyNA!6sm6t!)vC~j zTDldI9S+xbY?<e2)f<Qk?(A+@v8~d0s7H+hcS{k;4w)Q?7yce`aZ<;_risAt%*D;< zxR?rZVgs$xXsOK|ZfC7q@`EkxGgKjU$`EC;@E~IT*r`g8D<r8^VvV&Oe(d>7N*v^{ zk~&A1s<d8Gq3*7|$Kiz3s=<|c#mBzn+F$BRg6me{$~Y7H8QYs{{FaAF;u=*h(xDcX z{56=Cydn*=0J(-EMz4MzitM&3&5G)Ii@U;$AOT!ZhRU)U9yDj3x0wYICG#a1NJ1ba zmTx=*#IwJyqfCQUc-FGrwYfdAwUTI!H>Js8d69#E*JlWD7RwpR4I03-V2Dd-WSUIt z`>EqyXQregVqkq?$cRG{AZl^AbOzauvxSe1(!xfGXBXnkgUh?7&ATe(N6V*T-71nq z*Z`G0kS#4m)6?bA+Xp8RWPd^~tfTYu!@ccD((98_SuoLc6u-N00sXw7{@VG(MnhR5 zA>A~j$cS`)6o%~gUVqZ55po8}v3BgcA-`?Q25`A1XWLq58u5&&LQ=!t`PDp-V(8)X zIA@a=Sq&er5y?v^DU3x8-0e6MMK_`6+5mI$mPAv|)*`K&SEUJht3p-se@mn9>nnyh zyu~U?Pqc$Hk(h}>QN}h33E;zrw+tZ8r5>{Oy}FKK8w%@ZWI>RE=hM2{s?ZRC&VzFY zDlt>H5`kO!DrHQNxJi8oMAK=x2N0dmFAL%(bs$n|MWUrmo>yWb?iU%2f(&s67Xs&? z?2Op+jF6%g9I<_iM~pkoU`d!;3GmK>UZQjqy57`&^q68B8e1We6f0SwiiXZv?L8gr z%j8eXW~}>Uw%?b0%VTyc@s<*eQ&jBy;Ac;eT`dkp<Gqxt$*<g}hqU?23cqgOix0q7 zM2gNarzZC`;YNIfQfi_bCRtaSasuidPLT~0b3-HzEp?_G2NEh%|M1SMhD_hrG@Vcf zn@*r($zAHqN)t|APy4gSrwN%JwX#oaNvut%8U_0MT&;?}-8%bjX&}*diJiMVh(r@b z*+v>5dF>?OjYEMb_^X`aFZj9_t6^R%RM)!Z(l7N8yVLAp;duUrJd5B6w<sX#olkPI zabfCfYS`0Ff)xe<LYa1uzOytzsfwJ;`Q9opZ8?wNqgD1CSFoluo}6<;i@bSXHEsDv zfR?oW@){&AGeuihnOYvqgeszVbpBgbCPHIitmU5cN};m0{>Z2S>;?EyJgEx|OYL2W z?8TmoRg(Y{A;#_f;sRN<$OkY^DVZ9f`S3_S)dF`JPfPfNE#r5T4T-Z?na!lc7v@uy zvI0G!;Szvf83w&gg+Ypg>BMF)g+U|aPf&g(B<#Ouj{Kd;^~bI1&1F!&zqNi%Camm5 z<wc?$t$u29KHYPfd$593nQmA)={mLUA9C+POFbPbt3sCwlFjp+3u53QTdeE_IDy(V zi`gVB9YLyr4wh}$i70I@UNU%WnnJSca7T;6ywI_$Xu1gNs_;oe&T-nkL!Z%OhTRD5 zS>b)>V5U3#9vr4>#A25g6TEcT(jgUNE!v8TH#y^^W;(NZF@E`MZj=$-k9dCZgE4p3 zVg|Kq-coH-SKGin(i>oM%>VDS6*;4H7%z3%$Rdw0)k@lLmb?%Af8ImVCUBTRp`Ump zMRDNkK>}*$ou?AC4ra2n5GZ=BKB`;d4Jj3G=1a0WtPnocb+GW-+^q@j4Ekj*m<Qt` zs;Zh=4a?kX0&X;|2?Q*#GUmhn^#r8-{-mu%+er8V($>awsran^HJ56VtF4JV)wJGT zAFN;GxpY4N`%lu!b@d<o)4|!AKrfnwrZ&XzTV3Zxld0DBt6{MVa|h3jw$}FZ-)ToR zpV`*4ieX0io=@PYV#jRErHO{s=_l8fkpUCs&HTT1YWj>POX_w&-e;go_wQc@4pcwO zfL)?}QVfF9oq3g^un$j3n9pt#mU_HoKZ}O`bs(gcmi(frL~dYekHJti?j%s3R@8N% zIxFpR;Vn-oC`rjH44TRt`=#=)t<WWbMD`$)$nE}T;0!2NtzPq=du-dV1E;8Eqo|p- zlSK9BrA}cO9v)@F4sntc=g$AIr@;RO-*?`zWo(Z6R5fkIi{m8cw44ZpK;iU!O0z3l zJm+1CwW<+DebXO%6r<95;wy(4uJ5q@G>oC8?5o?i7b+r9Zd1`z6Fu%DGg;L~IR2+7 zx~gF-zAL_<ZA)QZ+Wpu=j!IDSe=Pn#Z||zN80&!raeogf`=_oNz+%zO?`dbnv<be^ z17_P&{=0`$S5mFp^)9?WA#1*+RtUam+uzk4#tqHJc0Bue*2{R;t8}I?JeyR7zt7F6 z_;#?oFAVc8@7V{tge<xPq#4gjx)p8jHK};%-9v)=bLY&PYKr9&{vk*6Xo2oc066JK zU`>pIXmY{1N0Y9d#%}ulhjZ`t?C<WYg=zmsHZ`Rvch5M{OKr}Poof3I_QYVg6sI`| zFBYCNnw&pApO1`RggyqGUStg~$xtTV=(N{vuMwA&&|Btklq7C6M+|ltKlF}X>V5Mj zF(RaD`m*-gYacFc=ry!&YKML^MGtj;07q0GfGi23-CGZbyx4vlq$YQ->Zi)%q`uHF z@e>Nptt?mL6u>54n_&p;=3@o=&S9EjXWm99-I>MKDUC#$!BH4+Gzn_Gl>#BI1-)I} zc!odqJV7$&b+2f0h2ihu$yn6aL#Of`zS=cy%{lMKid-SdWxH=|m9e<DM1mpcK)9)$ zj6w;mnV^%DUBN+ko33Al2NYJ_UAn^ns+eO3?r)ro;`Cz`aHszCVpNmP@6@Q}jTf=t z?eVMg>kErEUH&>zpN~u~Zbk5fq3}|#fVtoMc6SIb+<^oOlvupBrN}<l7C8i>xnggs zBZXAyXheHoXp{lHLBR7@!B<s2Alx59=GF>cjPd1ll$L<Y=#4;S23t+fCP&4@?52h~ zf%2wy!BKEud+tGyYeA!6Yxd-f(5k46;an<pez~6Sl)?5>=BJJx?kAsJSK8LDuX%2F z-c82S{ftur`l2d5(}&w5<aQ^%&CD<T(=0O5SX6Su_UYOE!;@=*uUmzG?_bZ<BI*!| zY1RW>wrygraj4;u<?R20-}ZgaIYgxv>DB&Q<`i5p#ZTp5GhaAEOW3!U-JCt4hTL`i z{QQ;j0HkjeCaIeQvCCW=KG0W5<lS3#VqMVdp~&PW0wqv-)<how5+MsZ7zfPdXdwa) z<3y{1aJIv^ue#%p-5w1fAV?e=)Y@Ec)R>X(ly<>`moK7yKuK3G@@?9=dV9Hp!EdFz zCt0X#8#O-n_Yy~FyX-JVRl!w|eR;KY85U*nTMgHPL&{28QM^kR3&dciP$Q4G{<2`M zc=?XK|JIywtBO?4OiCC`rq$bKWcCOiOqS3MEtTSSyU~(@Kg<lU#bWJc$k_|f2R>uv z;sFx%S?EcF_lG>82wJc2jP#jbM!+ozKj?*u+hjN42qA;zbig+$*L1h!sLfQtYjZQx z^|CIRit=R*DLh5EnV=3%ufxuS3gm@#S>6jy-Kb8j@eap6)yIyy^&jU@B#!Ev<ZVU6 zHgu(JLB3DT)=vkN#)MY0=;jV|4w%tzQ$f*#bVy*8tho|DDJq+RZnw-z3N4xGJrXjE zzvxh*B1H=d#{N|Xiq{q>uRD;=Sh)8SPuejv75!VBtgWV60rcA3OqCsclvjL<83V8A z3`xEebN`vVMaqPUHK+4jOVihNMH)4pH3lt-H2%YQhszSE?~dw_aERa7hLts|=T85y zqvxLd&m@fIHZ+6&4=|lh8}JsF6=<u=p9Ky>-Bc-7*<hDsEz!)ph(vO#9?o1k@z)t} zTn#-)1=lPiN+%F1%^aZmU$OFqo>+N|)sc_?IHvz7CLR>)k&Ak<-{4yTNAii(`W8Gh z;b3Tm{6HDm+sznQ*_W{0PyY>qHo*ddL{;i!Y5C(1FCv%;=le6(x2)`NdKesu_3<uM zat2X?vM&?ZXPL9dkLHk>X;R=M6vZOVzm){je7bJZ$l~d<l}Oz)J(8#`PJJP?{Lz_B zP_AcMgMw_O`Nl`H3|*x4)}zdaoJ|J|<3}Y;0VXbsXBEWWzVZ{wY@7?R`6-5|^UzRN zTyrFzQoD8IooI=N#UWJ5e~`x^JS;2gD~guK6D=$;E51$<j3iiFW>N)&eX6eQWgOtY z_Q6DBSXE+k^KGEddmW~N*U#&IB~pfS{~qXq(V+3Ci7}^~vB>a5Se1cPFMBPMgWM^u z2c~5qY%oEbYKf)k!)bp!HoGy*tVkV`JSA9bWzqqU=}r>P)ibMurm$uUD&&ph6=*M& zq_#&C7mJt`308hknVr9)%)&f`)^7=aWcG!6A81|^)aasO?ldM06JPvx9pRvJ;IE+1 z9J4c9b`QEDxb19K_C<fmxD|McfA5v%1gc9Vg%8tvdOa~2{J^j1O6g2s*Q-yVomO~k zPGqjEIZ7YY`xlFMed+$+Se%C#7w+-mQw`~T<I^0k3u1{SJqK!k{^4`M-Amvp1g4<j z=bu1=?MR5Yax}ZTr9TKv+)f8W#b#h%Hc&5|HDd{1X1a-k7t!3a?Phd9TpO<KkxTsR z+(#ZKJI{ZN3lqmZUp#pu@o3^SU>cH~uM=Jr$Fbh$@AjHSlV4G8!j>j)L9-<*D|{W( zKv!S-pReo60$ZdeWaaV@)QwL4rFS9i9JA9Lo0zEr?8Uf3x%dyLAalh@DVC#il}*xz z2+^*x3>Or+57%|@RXbPU*;{eFv@9>K0#Z+-S8M%<hm*HH`iOu=Rd<#*@th~Sd8Dy< zs#{3P=Ser@J<b*L0bR}ll@W|`bB7Ld_L~>R!?eT8<Mr9u-@kuv%^}3OnIay>BTD{m zpRdf?__uiFIwoi+-mVIsTCyeszdfF7ol!Zy`?!3&s)_moAo?GHHl6SH=hl7xPmj#J zemYxY`(N)Rkpe{1UaJFwA|dkj6es)l0Ui$%I%by~%}*Y!dN)I(u&{V*2$RE^@#uhw zr^gP7%BM@?$-%bW_U>aqOXFYwN0FSwF~Ov_7q73@Ht>||`_uokA~<K=;>$cN&$Z9N z#DINIW7Fv$X&nrlj*+|EKCvy1OB3^S>f|Kn$zdvbFs@<IpU=tMxVj9eCWWHs7)I-u zn&w>`pgXK0fUGPKxqYC9peaqbg3@bA-g(D<h8)!-^cjF7rCZVWyb_8%pixi`-U zT_TYP=kj~I=l{o0jyxBoGwb<5T^P&`Up?4BVYYGLLCOGMJUp5~(K!tr9cOFGobOK% zRuxUK5*w$w^*g*_9Q$t4_aAH;--iZK?|_gs1RhWZyqEf+XdgkMRcWxC6?Fns*XaEW zxy9RxJ4RbK;lhs0SH3AbRufSevXEWhZ;y}A1TNPZyXi0ZGN!j58T;pu8;g1M9-Hqg ze%b{N8E}yCLJWuaJShoygh0Vb65igJ7^ll8zfrg)6vPf)<v`KV2tzO;^awnn&3aBH z)*kH*daQl@VlthvSmQz`gpx0=Eq`G2>Fe>4YI{fC0DeP-aUHC^cf2ctCV~rwUw76+ zQJ!BgKbKlM?Er*BQNftQ19nbpy!y^nA@biav=vZqZTkK}cqxV&Cg8*BcU`;M1JZJ{ zmzQ3Bp)aDFi~QdVPFQ6WuZK{I6q*4OPhlvCH<_KCInSJT^vlNVvlEJp;{yql2dB>` z62BgV8{@YzxJsLB`}B4t40sfEJD)fU=ZtJ_8%hK>6+yMQahJGWjs;HR926mtJb^-g z`$&bw0qT})T`dTwL^@D}Uy}K|FidYHwt)1ml<GRcO9gCpN9Q5smVO7%1f;N%gdGfv zLbzxU+?3z=#K8N;_HgWTObr;u6Y^(^Flxx=r^|SHMpW`C2vi5y@G$J<c=P!`aeC}m z{@eWF!^hi)4r%ZtX)`G#Fi<93tCbOJ`G8-Xu&olpB!1GtbkZJwdSu1SZ7^Vzi~-LQ zihijp4Jj)Ca6+tvoCs~c<~z|x#hCRM5tiemZF5g0XduSCQFTFNtIx&X&(%>@c;bTy zU*^wo-bhOlW}9IjgGhPiqqaK{Pj2nnZp!JcWAa?`)vp8hEyJC*n{TN(?XX|h!{yPz zcgAX+f9FnU<i-CSMHmuEv||gNGYX|(-Gc0=g8yVci~CDQNOF2R&p%LF)FkWmhp@2g z8sj5F78(d`TnJclauS?9_G;bVjt{3K;mRLDU?dr)Gl)-+QRl`t1CQQU;jGH0@=Ad9 zV+JAx{_b-LJ>mulM=j1M^)^|hPz`Np?t@Fyn$)KXFg1QDPNhRIsvL-;gb+~4!J-H& zwUD}C5?;Yyg57EM*BJs5^K$kBbH9Top``SoWq{)aKkDj7|0?p4u=%@zD!8#KmQ~c( zQ*c-c4VCh1st{Dn+%JY>iBHPFNf!h96)w3<C*TcL?Omipy+7;?=(*KMmyKAgkIcv# z16vr|F*au8ujyXRf@jz_Ln3*0>{Powc9i1)0(>(>BJ4_$v|>sOKiRt>3CTn8?S{lh z$AEdwKosFaw}4K_ov=7~J>rNw(KFx>C1!Dn>?~!GviU+Tijo33b=--9%bsc2yl2DV zwL^3fm387a+?(?-3o<bsc5w2Oc;>EFj64Y)vaW^qi3J!OT<<X?L&UmC{5q4@i|8R? z%}n8ND23-MiLQ+fw53*+qaCGO#Su*A5YV#hcPK|uyU;bciY<zpP8?xU;vR+!b{6~? zt6Nq-_1W2Cy-Y^HpDsCL_Eie{Urm4d7P7Co=@?xzV`!Jpfr~lWzIr!L*oCdwcdW#h z!(Ndi=va(>$8X^#X=G+{CJC$&c?r_GbB#R(fe>h;hgr4SZa4@yLK-EnbvvoM6~KcZ z+VdJ8Ht$}F$$wy0;HKl5HDCK)j6o%xVL*-*K!l%prN|`(&RSYeB!U9KX*3fc2>4uk zKrwZ>o^MiXa~3@EA>G7&k4VF;<xa*6bkdRV31%bFNS*&4R8d__y2x~4QX~(<@2|QG zE<N^CNQ(V4qOQ^x#ueX&yqH10tO-0&tv8SUHI{z+Dvt6j#c;%+GKjXG$yG2z!!UEL z*-$an7z}nI<E35=?VDBXniFyAt>N|9`S&d}eU|{TSNg`(5$vj?D{tE2>;=pvCCEA? z7xI0{c?dnF8YE8VkTbdz34}XK3&s%>;|zyTb7&KA%fU)HJjL3Win({(^bNEF9J<1R zM}(HYc5MLL_L!R&*+5En{kOw+&v^O0%bB!vTtpTg!6#u|O9opQ&yxZ)jb`0n+9RVI zZp`b*4fk7^Gf;P67?8WP%jdUHcCXI&3lv{o_S~3r9C9PE_SUscL1A(C?`I4SHW<Ir z)73g8Coh0Dw@5Y)O#}4g%0Q}mf`cn@DLII+2NHZm{AJ35ais#myN~H>@VPNIPmp5L zTu*37#i0sZ&L&-bun6*-w9d=0pgyN1xOS|e%c+ncTHITmJ9GRYpPy&~XJR4<#K!ol ziB&u2or#5!=w=oxlT)7tKH4L8BNJ6p9wQTDII!6w56YrS@5-juE<j;CJEQ`hg7gF; zA<=U(xY#VL<2?ZLWgf*qBL6(dwUiK+jgSX^GNmk%OR5<tuFHV7k(`k&s3k<WjRZju zRpQ3<qCAY8e(W4Zg<kdSx>$;7lNDh2OPD~`5qhwi6DP<FqR-s-{m7&gCePGANM-%2 zqOp>Ro<(U$PJrUf2v`;hDHlA)C|?muvX6v!>m%J!@8&xVi_gIeZM$wQqjuL6e28w} zPIQ<Yt91T_PI~;LzBe`mnwoE};KgQszLAH0hG25613(`hQMyCLi$f9J8_9IuON}~1 z(swa8y6hLkjpbPi$e>)`SpqKam2JiB9527jy?4;QtInT};{40Tka>~oo}ks2=Hnkc z41Ksu{=KQbL3&PUB*g>%6${{3!j}HZnoS#|=kH<mLTx+qALN|XymRa+=Dah=a5G1C zfJNAELJq|{{ARm5_yQX(Yhyt_fhtN4*MDXWiZ=A2uNzFTmiP0*RL#+FT)S4}-A)t| zz6gtf(@Xe)gAp_ovoi|K$n{BAzHNoMn=l~b9UbfzN@AU$;JFC2UkVEqaH>+l6lcDU z>;Bwn$g$Z_k?YS(#MQ&@;m7sp^1oqQ6)K_e`Hoc_#xpZQ&oF&eyx+fAGKDZI^{VHW z;0PC9>pO1~bef{=RQArh4&%I16d3{ieip^%v<C;ZPKYZcym}-B6U`AZUP+l?5L(({ zm6!*J;2920Jgx(kZTP~k5Ep{N=bua$ZAH<LBfVS75*pa?xvT;;8%_gllzsw6Oa2&x z(;6Efp-b|Y{7wIzXgCQepFkTd<0D8@0gLehn=d$dTO-@CFV<nL*zrfK!(6e$T%0Q# z^U29YyzAVIy^dcH@0q%R;g>j?oEz8OzM$#Z<uFy-6w4FvV;6=#l<iIi9Ll!gC-r6b zgYQ%Xoq>r%MBZ))dgRoFCuBGX`N^#>fDb?Nm|J<FsG3xo1fe$|y4*&7xC~;WI!x5d zr*AX=jYU6CJSr#gIgz9nnl|3k%}P98Nw7^sCrPj|q-p=wX6tBUKI6h>t0@p{w+`V4 zqJiiHq%0MX+(~N&iXfV2iKDaF03Y-gON-g&Xm85b%>Ii@ZWyz{8g5Mn<fmid;16K^ zC#XSO#BZ|@FU+l-oxDCq1Mjh`3*-Wim)@Yu<9naHXA=5HDN@8qyb}h$0sJp&XE}bL zN`~`78OGNrWR#u~JSrhHq&}fhPqSkO8RT)^Xk-LXB6C<&G$O*FIj=h0)JU{SDwsGT z#8e*9B`t83!HsKTWiSd6YOo`tIz*KK5jq&3AlJqvu{vZm8~^BhuN4u>-Z8T}gp&s! z8JwHPt7KBR4sqvy1loD<6A5hR+#cPyh@oBF_Wn2tsgQaT?(0QBM3Y2Bxat$SKo!?c z14XZ;THL*$_fWm#xozrD&_mRa0);ao@cG#vd)V$1OP~e{hlkm@eyIZto>bo?f{7*J zW;b<<T(R?^%Uw3i!eHk9rD_(Bh&7=Y2oNb#dN6(}uj&;2=P17IKSu$z7_k34h;(BL zL?dum)J(Bb(n@11n&526j1l0G&j_+~rPQ1xq)7F7ipE8Q_*bR9;ehKkf?#MVp1UtQ z!GX2lKYpOXb8*Xv%m4<pl96r$qqI?I*ntK!Zk=9gIX)$OblaBosNPh{y+;5;N<pL_ z!LnA)-9inNV<!kFWXygdeiy%wLzPqMIoN4F#I(_BUJ)I^eUUaN6bopu)pDY>l0bz} zmj_e;n9!QD4w;H&2Sr>PCBY92TRZoYQ?MHUx{jAyn<C=a$y`^LkTZ@znwSaC@xUR4 z!YppnL1_L%QHb(%6ezK*bkXvPOv##!tjw@_GoVcgH#GXgjlwv&_if@@@#8C;}? zkgW!%FRLs=uNAUXd;x;OZo7*heQni-9XPjRMUU%qqbGC+oasfY7`siphT5?25cUcD zaMg3uIVSeg74*~PeEBJ#UoFd~CCG0_n8QtC){qv{u)t&YTeqBaxMw=4W1)tKLqQ=H zMdTN8dcIuL$Me&Ej>Mg?^v2cDzGM(&P6U<w@F)y2rp@iq>l#pQ&ng{r(#|SkA(Vl@ zypDEqLd!X;S`IJOPU5}f?ku^bL_UK*H#-d3P9!55$xVw^K*=cT)rlh`3h~4x>ugG$ zaPDZa<M5%wEY{p6@m{MOl}197Um_khA>C>2_%hRAFuh9KZz|@iA33K3qjGg+b4|qT zE*wO=x*F?H#144Z2hwO=N-kzM^d+*z{^R_d!2&Tmw0tLflF|w70=gJ9&d(B&v(W`P zjwjwGp@QV=`*G>6!fDSx7=7rLRp25NfN~sPbp;H^tqI_|e(Pm<YzQM_$)Y%EdQ{aW zyj2N2dwhIE{z3w#+PQD^lFA{#cP)o}@#cDA6e~Z@i~yrB;rGb74Y_$r<eN4jxZE)9 zW*obV2H1fpun78l+Ab)t1m>0DV!IN1)W6bjTyV7te`}r#;VYrX$3nt#<uIa`E^yiH z5xLL!Z_^MGeOAF+f{OFyRr14e{|d|{2G@mH8jmdDIl+c&-DVz#N)plj_>tI;dQ9;u zlbW$dCkxzh-o(SILPY#ZbqHX+#;C*Q;bI)iFle0nfh|lv8vR>LrBYwtnRuC=SsFp| zs<o&=&ygjd1QDrZ7-J-Q!S>pE_2OVrLQeq(F>ds8)^_v)5rsAG2Vt?sM@fssk$mS? z4snS(;;5;0bp4u4j*~?xlO0SD%sKo#x=R_#E;4Y14uDR!zT6lM!=t_ytV{M$Vs17y zF^a5R^lsaRxVyaj(-aCHOr6;@SQcf)N(^}-iMDtlAr3jmS1m+~T|*!RbXf~re*RBQ zMENUh1*h0&`KwxWDNWx2#8M=GZ^O#kCA)|wZ*JJnQ2CJS-$C+7amc?BEgNCh_vvLl zP+NhfYG?(q%8qsWzb4~H2-~EKX%w(X#oi=4<3YAHP%%$P{+56f_+P#SATh>^pwQ^` zk^PaM3-W;&j!i*caw~jLXTCoS&E@fq5-!CHSIbP_7w&ab9FN06KQ}YtDM=P~uF<gI zDN7zKQbtaWmT#g?#Y}>gk{L#>&|CooV*~=DgeZr@c*2G8VI)nX$o949oymWf!Xx_n zkMO7~w($999wh!D8!MuUkt3qyV$G?FRajxebVlEd&`IYLkTXxZGY%#r;ILRO!lXzu z|7D`|i;Fv23(?(!gh1Z1Ly3(fZk^R@`7QExBAn7-_wjC`JdPyaj?aQhlOydca9kZ& zw_H9KjP@&n)BD}n_h8t2g`0TqE@muy6YqGyrZkt>Z4#i-eR$S`$Wp4fPV6c#GnQui zBlloWI36A%6xiXaQcNs4j~ybNl!%7?)FU5eq|~w%Q(d>hR~k}5-s2Nr7MxGsk?lu& zzyhHdVK43V<3(8(*NDsm+NKVoVQmz69+FfR^9!A=BQrnU?QjyC$L`E?ZqSWU3M*)I z`LT1v)*f?ce^!Z?8IMxl2bm==k>dxKzTKLgr-<(SMdJ_Z<dy}*!{3mp&b9#9x)F(1 z5DU>by@@tvuF&S9h*@^XN8ApG73__04oJ1!%Jg00F+?MdW#XE>k;iRF>vm%xh~=g+ zz_NLbVOWfXG&joPARcGFdO~TeQZRym|5E`o$v}?Rw{-vVb@}ExshkSkmIhE)DJsK{ z_iP>d?{i8Ntd^Vrp(M72qXA%Ur<oSzzS5kc8v@q=*fhdoOtrSrs3~5WINZ0pg6CU` zf+a3*Ln0QLEMA1iHl@T&AT9^yr*Q~0*+506u$@myE?~EciaDXo6qA@75mMt`lt<zy zSlu-$TMkF2wF$x&&aM5c*0kXa7h8*&1o8OIuViHxoo5hub&pyfBJFrEKG3MF12TSM z4T_KVljYWmmi@gY$RiQgiZ>Er9@8bIYMZ~{{8>EC9*z;O;Jx>Cs+kAaBdW8O6ALEd z+Y_KyU1NX(U6I(r_09JjJiwe8C51@k^ccp}cndeBGl%4$B-A~hu)PnyftI;=9f0dQ ztk4dy&S@mkw}V27-;mHW5}rIHhJUj^Gg|4rgdlGGF<uwBLDmn4<e-;z#yjN<z6ONr z+QX@gWp8V|JePsbao+@HdlV)IpyE-b?wqGyClTP{|I`T%*&8Psl6EvYswvr<?;)U* z!57_Z7nMJNDL3`=2JNc*yB2Zquab>Y6r0U#eMIF*V$n@)0gMesKVAXXSemEtdMZj~ z^gU2cSLw+;7Fw%VN#7`@t#!f!eVK$SR3p4L9R;AjUz5Wy-X#jeoY`ck*o(ts9KXff z_DvZ$`Sca{if9~v?spi8>wb;S-F&>m*pK7=OBr-U-hNXOvuw-|Bud!l_S2LNBoIJ= zSco_d0wQb06^aS-aEKTfNoK=Pmt29UV@Dz-Ck{vMyjBR2#AP`j7TLL&ynWzC;>}Yy znj!A7%Qxzfuip-os-bc{*BKgzx$|<Z`N6?bxL=q}wJ`f|I#%VHe6p8fC=2SfIe<MR zrdcjOu%LV(e|dC)bk0j39ygE_t4`;1GGVQcKeDXntrIRIyKgs8*fR_X58ETg%#af} z3%dLfWu(&tioJXmY1Fl>()1^IeF72?*x8=yr-P1D85awLUzSjSw4uM~@DB36@HopG zPTb4qkLO!HkeF`>Y6XN2EF&TZ%kT<8LtlX;*n=wCfhyXA8g7D^$R~!`NHMfQ;LEGv z>EcPFX(y7c9@FnhiP@B3q2{cEnABJUB8;;U@L_uGK%7O50Frh1I*4Iu{lqNw2o=oe z9^ge?BjG?oc@dpTNKc(|@DeCp_(^diY}AKBiNicB_(<k5c+2(PU3u@aK&g#HUGUHp zGv4Sz71wAbd9JVu1t0&8O0-7_5m~KcYjMXwV`VAdrC5@8g&p{E{m_Vni!#(8C#G>w z7!vrZTN1rLuUzND-M)81FM@4QC54bHbwn#$BauK{g~(#wORYDVrLL{pWt0l&OLu{C zluA>4l)?Wg%p4w(%^gs$Re!JlI&{4{Jp6R<X#d!iS&8K8zT$FU(zdtce3>4ZZdy#y zK>^LRDQgq1wYc(YWji?FyI!m5izZQ_8rMs?CKe#X6L283cxnCq+3(|OQVwf1#g8-Q z4lE3rbUqY)IC7iks{OmIi4b=JLuyID7b<g&1d2j!VOyH@Kjq_L7c29xRh&@;)O`OE zinR!_3lN8l_*D6Dy~TrpIwUQzJS$!*5_V}<zP-j?S1*+MR;O=`cu0lorGa)Jdn5_* z!iqy)KR1nv%FqhzljeP*j97=>Oa;b)v*px;I0K{$Pj{cS2?3k|q|$Lv1T$+laY7XZ zRTfdA@oYrj7yl{}jlBDFZ@!9Jitx>xRZ79loF|20CJtc1ZOyF2&8uP$X@yPkHDO>6 zJMbSNrv3PPa4pl><k29Uye<bJdj3G}G(kbNy$}4oSz-*8KD*ry<0p{`uK%tEe)T8p zX?|6&sMQm4O(GL;n1yO@+M4qASV2<t7QaO!k&4#Z5HFpbhOJe4{vN2XaDaBFJ{Y}F zBQ9;j4-Wj0?65IrU4pqR6GY^7oGGD3Sxf(d?s47Hozw#b5e)t2O_co8dlD7)D#Quq zQM!wG{``otmLyPh<!lmc>U2~JBoJc)#T$XO0_8%5zLYAM+#diRSAwKMep<tW+y`gT za!GcWOw7(ZH%cZhoD?&Ln#WtgZ5<xY?otU0JQ#rN-KXeNAjqT>4IUOJ2*tz0i~HNa zsS*z$f^Nn`I3g;7AQ;frsx|P95nWUgv>Wm6;EH&Ffnucgi$;19NSi+e<^-Mj*VSnS zy}2v|X)d}E%9Ld7eLzUrAD{!KsVibX(A788cDKsrxmW>qofdozG_R>LirEumQ9foU z2$wh{qUPgZAqZXmendR9X9#^W9Mc;Xo%+`{iq)+yG2&rKO-1n$Vn)IUlqe|0Qjd5I zxQMy=k&;GW7e=k6j)|?nnH_X+FW!5^DMw~9Wau1f!83B6IqYh}{kv~4??;Dm_gpYs zM>AsjInj<68}XdM^2ut=u1Mys>{d$n2J0c4T{ILzQG2K8CZDdT0Wj-k6sBxD9(o~e zM=F*DxyflLy#zOp0sBfzN&NyJmu34(T||C&HfTHU$Hzog;GH_~BGAMrBJb|Nwip~X zcG!z0>>6)Ol^EitFuK^gFkUn<0kTs)KND``y_aN1aXY`@z-bAh6}DJbRzH!=5v!UQ z`fRc@PaauIP1LBFK~bptEYKwp=5b|7WRKVwp7KFtV1c~=1IEgi09_u2;m5#+Q2bTx zRbX~=Ey2h<BaaiXF(eXz#T)6gwk`~BUj<M}J~%*v2GdzHv4jH0loW2-SI;p9+c1D~ za0Sf_j5jSU9?^kvBe!=)7S%luG&u5L0gn#)T8)Bf2|Mv-YF5<115nKE=XG*<90d>X zy55Z?!GYb(h#xK|Aw&;kC8SqdD}gKc-GXa3X^8lfr`Z4@tniV@_`Y`2eXW$#oQO-~ zL+)H4+tL(|Kw4CoyrfG4TgJ8|z`<k6Zjag$(cs{Rzk}WwH&KYiTNw?~i3`g*J!BCE zrj`=VNg{<+wWU(#Gj1KS0BA-GaUjecStP<jUx!0My&v&3LMpU*jzAkEA=_H%L3cY~ z2a-b)y%A6r4N$kBQQ;UG0B+9>4jyrg4Bha&ye)^U6^H=!k2D9TR81U^;9)liL7ji* zN#rGAc%V6@4xKP|gosB=+M1duh_tbkLmn7`n?$NoR;y-#VT30uRLd_>O7<T4;hn&n z0lh3ggI(}3^oh#OG?{;q*Js<OY8#Yq1v8v@wGGs$UxnmUJO^8wnM~*ZxtxMVY`Qm& z@oOHw3$ivgEkx`m&EY`-I_9eeob1mVXIQvbe*%q(s-{A7UV1MY@z~wV1|!_x1aRbi zTS-D=0|e41Brv?KW;+TEl7I{r*I9)nqr{vMI9QJ@v+{QS?2+U=ktP3i2F{E1UKg2U zGj(8}i`;GMkm8#%gl^|VJaQmf&NMo!n@yae42F1R^bwnwx>>?oWs@SB7F?!(-T;C+ z3|KiTn#V_}!MGI3g1+-2lUeD!l@Ib-aU-)c-?^_Bf6(fT*TeStW)tLULhA2G#8Pl} zWSjIrh(m@<W2Z!(xOzkew;Ms_vmI(@v}xdx3$D1(4MX(PX@cR(OF6aO{Efkb^4gB= z8PWa#8dqejB%1=MC{sl~5``uQ=`d(k+5~eEfmnFVmjkINR8{Omb?Y@3Cqr2H(~hu} zmC7Ea+qCpqdfEKAf{17c1ZPn~UZ_AD`rC-Kl@;PX<zrOJJXN|#0S^p99K%+qKpGIb zmbXNaK|J7!oVDf13GHud$VC0W%R};eJk6wCuE>uum7{hA(tdZ0zqKI~jtt@s9bv{G z*H#;WgXU3?PTd2g``EFalBP{ga)KP7UknhQaFe)mEI39^xXzoEHT#(9pdHm!-4GT7 zfya@SBF)D-^-JLEEnE>62<>a|13-r3IWbEK7hXwAg!Wtb0fu7_xOv<;6kMZ;Ij3!8 zgg`Wt-MKcO?)z}vM996mhfNAOz<s(&H-rtssT2Y;SF8uE#uAZzyHV{vek~{@RHN!$ zcH5eKyBER$K^#8wS`5N~hs2Is%x{n_bvx~r@{7g5p0YKEMx7;Y4FLT50`PZ7Zd4Fp zKu6{Zv+_qC;KzUwlRK@<Qo(u_^(-~XjvL|<NJ#H;6}G(Lxm>ITWvgo<Bm)0snS!|0 zn@YF1mHa6*0`r=zJzn9DZ7_BaPxpf+5c$=d^)bs?IX{agI8ZCiBJ=&MfP7GFDKF=% z;W`USA-3dAZUMj>E840-Gf)*JyKSphF4TgQQ;0X7)5s|aZ{TXII}K(b_Q)fCKCmxk z>z{F84;xE%($*Y_jx1sEpAGIn-FQz*ng0|?*-nPA+jpuuXMcHF9<Y;j<_))`^T{ox z^I}x}na+z^{-*|US?69zUiVt9rku%>QsY0En(d_MkAE=WLU!L)mes73!>Lg%1=8=9 zV}()MHy7SfTZU7wfAd>w+IFWiO=AGCTJ0ERFaSVa{%$;!>#=A7KsZSbf`&{70y@g} zvI1x2oK7RJ))-6xPO^h+C^=bsb0nLMx)YFl>A{vF9BhMmrfsI}nFs?65Whz3EP{EV z?8hC1@dAOmu=y|>GvJ=_8}Zx493buJTQoMj9`PF>Av0BU(=9B{hz;o?ykU0Zb0m01 z4Okl-2E214wicAv_zj={?JD<SHIO#^3%L#p$~M;?5Bh}FNBS)eE#66RTgn{NK#$lJ z5K)hsr<5uX2hI(uEUo_rs)TUHJ86&iGp{4e1_*M^v)7~~@96^Yp5C7Ww~-jW!mr5d z7_|m*pnO5IexGJqw(9l7=zVsD;mq0FVQ2r$M}*8LGK|NK57%dKWWVda#f9iU!*LP_ z_~y}F-V3n1ItXa{yx;u#ifW&KiOZiqt1Ieu`D|DDCXJi~?zvzSSA53_GJMgTfkci0 zfwxVrwRd>$bYoe_;=qoO9f}?lbfl+(%?fq0Er;Qp-P`f5*TeI>@891!{_ujFp#?cZ zziQ7;uRgvKcCYjIG!2&$hBom&A{Qcou_&BF*erjuNGJsXCc(AN_@7SqyZu)NB4RDR z+QhD}Shrz7Q1UMbp;x-@_g#)hPR>V8;2qPe{0CeB+IR5oh+)t!xmva(cG}72_ZNcL zU;FON1xFnap@1?B=jCWF8&Ju|>ZLQN#Jm91eOpigGw-j4S9_Mt*+j>C&IZvh$j2kc z0Rh90=MQi&5KHuFm=9KAPq6;ahRoq_&4j6aLMUYQ=e?l=Nr8&-uS&Ku&%O9B;9WcJ zJDI=bm5JY<ut$|HXH{6l9P8;ShvNZbwm5VIBi)l(t~+=5FTQbd^n6S8CHcYlLrSEv zhSj??CDSzzPqX_kt>_EeAY0Q#5yO~pl3RDq+($^3!}B&Wu)}$o8J|kz-yZ+F1yn+t zZx)FdT@=}bdWeQGooK}ZQ6bm}iD51HIM8QIw6OUPQHq>SLV}#y4g-fBFV}86iDRNf z2I<E@tF+?)VA;GZQx&sZTC-4o(>0%++c%H{wM6|~_518-h57te-Hu4M9QiQu`%glI z5t%Z!Ts6{N5~^tL<VklhRCk9NqKY_nFiv;XaTZQ@aR2RWV}TY<<9=K-dkXaX3i5k< zlj}&gA1+*vq4Rss&)1KwZ&}Dz<{0@vLSNxPMfaSr;H&WnDlaFWUbu+n2#Qm$cmHl( zfzX&^5BGM&0*-`-V~=i~-LS`))%p1nE{8uJ0-?Rm#UbK7f8uK|K2iAKVMvGR>V(k? z`^P=S|MuJbxCh?gnw8EW7CIXB`?7HJg6N9N=Ml_5n`eX=Fy;DzEg;zh+}uZhLE63h z46YBZKACv?Dv{*~fhWnN45B%Q_=dk+EjUT}T0{Gl^;JEE502oq1r2SVGwNOU3AvUX zGx}va4p&6roK=Sh54Iy!t3z+Lnjfr}G&S}LCbJ^ILIEjF_T^IH99qzi-=J7Nducq; z@Nj-|2#@<!s;t$d(&5s3k6X`!YUY`ix}d=tv-L<GlXw^x!1FY-6vyT=+sc>e@rNh) zVx%Ikh1A@RWQEmq`%h<gs9AH@EkE?7`|K#t)D<;L{$Q@}mcs2w(Usa~EuiO*V%#M2 z+TkA$H2_|pCR|)OKvq9FcMOEUU`f)N#QdfVUUt@zD!V6n|CxT?qE<x=QbKp#S9&jK zOtz{w=;FuKI5G=oCYcwm7|)ODEn5i^r{wrYMlQ4|7@zita0Ui_H;CRbUU>2c8f$Mv zjHB;zw~(0RHGdU>`7((iGQyMvOJy&daADp?Mh*~m8BxsbXeVRi$0XiQ0uGKg2a6X? zCpI;yJm*zSKA3r9#LRV`eATdO{ta$2rMjAJMKr(VLa3b6LSA^ElpV=4ULTM7n}Ew_ zQ9rq?i=ZCjL&b44=WG~Xsd=q{%roF33|dU@i%G(id7my*&1MSR0-1r)c*A-z9`j<- z>jv<Btu~^>+DDfZJCWH(do3Jbw}kJ3>{H-#%PPeG_>o}H5A~eP23jVaOWz^uM^C}x zs~x5|J$wE~7Tp@ceGjuE-@b45TJt!rfOw`ghY{N=t;=gCgK>_eb|<Kbh_~5j9>eCq zqB)nLFJ=c7Kf+&wf&+F}8U?;fMBMJVEE0IphgG_bmksq4yeN%tn*^p=8E#3<NJoYv zT80Mh?1Hl58@Y%Nj=)(69m3$-C{yU$rO;E<fE-*V0?4wL(H4bW221!}x3~a5CQYYZ zx$m)TO)XA~PE+}*V1{<)cV6Py%KL;8J6aL>vn6A8c2%AxKYf-w%jd0x!|Z#jRTOxu z_A~c={4Ws&IE5OgQ(}QZJfGm%C!EdJmb>V`zMQM`-RVU)GTn^2>1+*n<+Kg&o$r<| zMr$2qzBF3Po2B!vQfu+Me}XC%Y0Jk#t)4V77=x;14jjlK>5ViKp5v<&f5H!{R5*W? zokQ+;r`4zv_S~tB>4@K|Rprjw0UPIe@2KanRfdyjPrJyEmH5<YPrYxZI<LLZsPEkn zT&S~Q)gNLx9cAF03kliqzFK>q)oJdC#MO#iEOP$ZeU<e<EB(yx^Diszs1;#P`*|Xu z-(r<EZFVhT!P%css5Ig(;w?~IrN#mjpv>QBmAgNoJL#%{8AVpF%02y5Oqmm+25NN` zC4v*Z=6gigbL~4WuNMGx41dK0go;bh^ABeK7+r@oC>nK1^ZE0|$>S7916{Gkfv8DY zyV;nn`PsQqlN<6Qi?J>*9-AN1VE-tSKf`5$dSSG4=?*ufP6>^(EVo}4eZM=mO=S;u zrGe(KMZFw?%GIchLH*p=@MQ0V6!`N6xr`ecw`w{*@7FY!fjBwF#(3QOKJ84hUtX#U zYJwE)vNX);ZKJ}!`UGlm*us~v3yqB8DkTP``4)c{XrW2|Inq%fX!UTDf3t-FaMp^7 zPC7REbXkl;mru)fmgB^{(e_%f>0yfe2>?c4$=J}Ptu>QJM~3NEDRmO}1Kkkt+Jsw> zhA2;EUq`QAp71wCDX7c|ZRm~s|G$5@LCUPA<^5Q^;nHeepJqUDa_i@LHBTn?gv*Zk zKJI%&i(c)mUHP<O_FLxDX{_C~6i}G2Q(MC|(W*2#hCtJ4GZI=FkxFO%iS4Bmam^bL z^igIYH7f1)qHz_J<Nbh`{Pqi?11qVa{ydR8a^~@@2G}RLooDh?3&)(2#hv}Z9LWEN zt8b33;|tr3ZL6_u+qRpev29Pxrm=0dabw$T*x0rj-SpS*TlcQ}$63#LIeYe*HM8bD z`+c^O+#~YuoNSYPNza?R`$-WJ6)8CHM+r?L82#2n?+9!w%L`a~k-prc3+V$tv}rS) z1znC5rnWftO@u^;d>x8u!+EP|04>+~ZnH0|Op{q~YXKHa`L&zHcj+Doa-6u6^6{|j z@eA0IEO7&;PQ%cg*Cu5@XDS#d%(nbQ-5a9USnF7**ve=SF0<hb@}un!ziQ!@1V8ur zLH!*Op(a}zKJuyuVYInvB<;_>SbzTLyR)N0WFOv%zyjNw$Y9w+VMhyo4;(^+Wl@w@ z`&emplLHUqx}W^T$~u>TIJ7B&aJB4>42U7l*&z~4DP)iF4~98WJb$sb*C%%3R8ppP z_f!CGQvIbJ>OF6`m=5H~U_M*V?bXNw?b>PF_v>2HB$BsSbKa4Hq#(P^M-OYxr}nCI zt&`Ngr=7mGUwB}DR+oLBkS<s|Z3s#fjhNvGJxv0YW^u#)^iE;{MjyLsz`a|SCZ<{t z`ZieJhrB0ow-5x&MdfiM8JnGQ3yhI=m-w)(M}`WKhTFdoBQJ)5Zg=xC295t1kz}B| zb6zCK59!*m+k=<-a?+m=?olz4v=v|+=;>4^B}oN}aV|3<xU-FA`1}BJ#WHSL)^v+q zMGyBJGeORD3r~P#)jua5N!hCSa$Om=c$gCANg*Pq2uD(;c)wTdD{-ij-c`z!lPHn1 zm+GTI!doX4rY0YEdl)Z&vkzMfFP|q_6%RB=Vt&Zxjs$Cx3c!n1XnbLCYRYvSV&aJ6 zh{6t8`r9&x{LfbYPql#HbHiN#37z5UquU$@ZxNY_CLBnBM&MTKOL$UHmymYtN%u*_ zi)6Pr3%Emo@EF@&w(BgR+niMnneK)cTmpgiqyJ^z4`xa4Sxvf_1C=;KERJYNP1j%X z3q=oxYCHCa*yZzF4>SKmSh=`@Wb|&BB#eYaD!QeA_oMm|szad9o0kI^6UMD%A9Dbj zbbjUzL;=v&pERjF(4j<Rz!k89pvb;#plhrJ<9hb6sQ|%IwtpQ<P4XwEl!G?WejE*K z*jE<+!H7osQ5sxPG~sm8RoEy?jh*6YT0Q_YEEf|U+<-!+Ze|K>E;|D)!*)F3Wm`&? z`*(&JPRTO|1^un^WWaWc0<0$z=A?|llcoZ!oDDFPIBjZC64IZojK(P7V>-APXr}~s z?9mv~JTh_^cJ4dx3uZg`2*N4j_9c2l;zF$nyn0>S#^A-_t{0j~T3~IeQ*IJ>eeH)q zioFwJ9R@N)yGzP^xa(>6P@IAXLbyG&kZLU0)4(|QroA34{sn_c?+e=}%en#i?oriK z+yeldeUoj;f>L-y3bx|8?vp=bGEA=S#BfT;MLA24F0!4sk<sXeTW`;BUodYNT#1P~ z^f)hdTCiLCTDa+06>S`zBD8H!a3|-IbJHBY!S$VLqcj=&LZgujo{6E1BP5(0k`}LG zZ>APm9k$uENZPb&w_&9D$5M7ib-hv@`AT3>Ce$Ah(}P@5HY{2;vi-zr`c5rLy>R~t zOPDjn_ON?>R-4#Zoc*%*=c;ej_7rBYFJz_H>@~XGHbp+6==50ac#<o~;e-%4i2GbO zNYH#5{!8aZN62HEjl3BzHD~1_Cvh*FEdsIjUL<9I_p^97Q-^{jIqy%oFee1CfqOtz zD5Q`*w7feA&0P{4`Evuyy(@1+;=@-<7WYvHOM3`U>>r*n+hbr3Gs(gXpO-tzfMg8# zXVu8iJA1U0u{6y4Y&2-Psg!NQ4H~B!e=$~_LoI6r<`8XiY6+1pwe7qKJ5!utE^AL) z4bnXjbQ&3#q!=s4W-Rv81S<>F77*Z8t`R}dEzFsGmk*KPR<eTt`bjZ{%=(a6qPKIt zTa_5`%q%akP#Dkq;7d$jDP5R4&Yx^N=r#w&fsgb%mve1Ho}FUWh&TS$1gH0PS;zte zrwP>vkgu{6p;Ia9xJa>n<$<BUof3DttJ#_3LUq4^cBz^~{2-Bas$t@&*#+c8?KiCq zhf_oJG}Md@uR`RJ{v@M`xh_hbi@Rwh9;SRHfTxSox<=DiijVB;RNFS>;xncGdybGN zcx^X%{iyoXakcs1W7>-mE02>2;&k0`y<S9NCkhmC`Cnxt110iV5pL-?pnjK(VteR5 zXLjf1ev!`Uxf0ubxHSb&0M2@A34Hf``o`=Lvu(LxCY_x4_#!fj?NdCU8(m<6k7_;n zzIJv`m2C{=YFB**c<dWxdX_~HS5Zp?FWKNigeU?SH>U!Xbut-{zV|JPM9>DiH~?^g zJTK@Q*H=el`7@>LuOvC5IiD^ukMf|v=GbBF?JWz)55*qV$U)H6fCe)4FC!JCM__6i z9P<@Hvmx&~6{Ag*s$FU7DxH`da}_e!I&V|6QQkiq9qT9>(LwiY%99sV-G7KUUqM$K zzp4zXb;J@;?7Sf~@xAythMu7CmoqApT984@rl&&2i?1jtl9%eHpa;7J+5{d!VZdC_ zJhaVEb(OxC>B~R+0mt#NMSBXyjW56Mq&$xh6ND_FC&XN-UMAQnsQnbqPeBN1Yf1me zvJrFyUwm>~ab&_=_a^(&D^yM=Ia)*xmouTmbigN_W9q!9bTQj?hr|<|Qupv5Ujq7{ zLv-fY!}`BX5RaRyscYv)Ycn%9H#bIlF>dT#pF3K0zQ+Psu{UQk$FOgI4qoUL&Mr14 zJ30CWH?S>~Jnj;ksyCmtNT<S;qE|gzJOPZE{g?jI;TN)oP4zp_k`8d{Uxv{pqc9X* z{1LztcL`Gu0z3tQ&X+-|g-BD)FjQV!N5Oa0jnQEC7o4fl9a%VrL+Vn(URXW?Zj)lo zhGXpOKS#jtVA3($P;u71>@Ba~oqtdad<4q)rwheEm_-gfEWa^B$xn<Y?elDMsP`L3 ziDNTA^4orSDm1$IQgv?#RxNW3w$p(kUnhEqcI#tD!lhF-A<|(4z0d$|vmE$oH86WA zFncAi<0rU}3H1E}T$Pap1tK4v)sl`(-=r*_yXLHFO?^UGb~5{ERnh<0R&-?MCS@}| zYz_4ZB_F1<sv;{33Wblbe<s}zQ(b*RN_KL?N0gZb1;dAFSx07UQuaSnVznG8=+DGW zIgG>&IaEN6kB+CYKH(pr{RGJPR-@M;F}_&ag%=`b@em|W5`2rYK$5Zu<RQq0+IMs% zOzWG8H2hEmeJJ1t9c`&TtW?1~S;ZYS6Inb0ay~|@JTBfT_r091`?vIGp>{`Kk7@<7 z|8vmK{&0Bjy?6Vtyuz<8rvr2Kw@kJU7K&4|T{UajECN3}*uwv+g8!))a{de8^!gXD zk2`1b%<sbek;C2lpXwz2zZ6aX{9ph1yZa8_E~QKnOnzil$o((HPrrZukN^BnEaYR) zUdMs0zvFoQ(A_X;XBs*AV=rZIK)UhbBPhWK^I}foe4T_eVXj1wRxV}hNz2fHA}^cs zGL)a71xNeq=zic4xb~!P=<zS^L!9wt%ifp?`8bKsKmpCipzL2^kKM0i*-yrw8ltrd zygpQn29f4DECQT&<68jz*#PSX+JvBs;MpnUiH5fb^8g%auzD$r^jwzg7{Trm!EQgn z?mWS6BhN6tOI*_+am}}MBno+Q6O@~o@FNrX0pDAr3Dy#0!9Q^s?EgU=dofsx^nZ4Q zA{NXKxAwyS!1f;y{DbiV8cb<`7B4t0R<H;yru+lQQT~G>#D5aJLb-6xG)}+oEeW6H z-vg0Q{R8xW@NV&+^29<G63u^*c)t+YO3;M%14ab?1Dbyj$*)Em*T)9MLgY968&~ZE zmbxI;?&rCdJ8%Fnb_JRSdC+ePpo;RKiVC0xnPBZKke)U$AU>G&fx+2PBmqa#eT)Nf zK_7th56=7llP3GWf}P4CfXYkZ-IIr5`~|XqK>80z{sFN$h9R^uj3MuA%`<b+U%2@P zC(K2_UvY=I5abzA3}h}otRsvB@&o+-fxtg_4gF8q5sC}Bq=N&N_Kp3N7J=q3Q2ztU ze?UG?-7VOQ((PJgglr_;<5(oa1oh5OAcpUK`10N74lkA{|0aV6r+vU29IE>Ja=^zO z0QmoI0SNbmoZujQD0Iwxbb)ITm>eIF9SPZZztcCjgGclYf08ierC+5GlFHr3Q`cTo z=}WKp)P{U?p#6cEG@qX1?b4X&=^$z&+@>Aja5T@$m!%BQ74L-tXm=|)r0d@%w;%~U zWFh6@!T)iCQDy^p+k!Z|Dshka5^Ttp(vYXwpZcd7oVQ>K3ULyt=TJol*b`Z2ORMwL z5YbZ__QDCv(;q4lE-o<zlTr_H&?bjJd)cfblT*}pTOH$z;D_##Hbw{tacg)Wstm+I ziuuBw2(6(V!bMiIwCaLqcZ^M(=ETa{#xNfgX`WcZqh$bQI$!|Uef2haM0fEc<Ve}e z)K7?e`-?9_Xv{TFd+4kzy&!Pj@2XB<sE?@>riBBb^Oo-e^q@^dUB04&RB<41>uj0- zm06<a@hh55r-xNne#SOQK(6&lxE^Mn)G3cji>tV#sOkiwX?53Uf-T)ZVNwg<#|6#6 zUyNj%07U_r6V5*$?QK9#&B9)znS8(sAda{cXX_a^pHmGM?AsxZaxW}SL7yM<UCvsR zzVt11ohE2;jiRhst!Qzc$YtK1qPiWH&=w&Kg9WS$B`W7D71*qTc_o>?m&Ex!_m84E zyItu;F<wR{!MVnJMHZ{;Cly3lv#V5)*4^yv19T&dtxBkWP8A?idJHz4kdv3hM~-*j zoZh5x4TAY2)j}ofsv&vCvEIUrF~lY~SATVFZFa9o4nGTOP?JC3#s`bmRgSvo)Q1UF zs|U)OBJ(6yCMK98`q5Xw#hiLA@Taod9FA#eP#4YXkU5dnV23f@pWBD<Uugu2l3#@K z0P^WoqB(M^Fn)<uV4>24LN3bEbSK(eA6$28VUcmrZnV=8yEIMC9M^gYl82w2F)c&T zXz6&zR)%kke`dC1!uY+~zyFDAuRAa%UN&?S%mipCxC^IV&c2Y%a)FQi8hLd-vnR=k zMSj)Dj~#yw-?QGC8nVM(>6U`8oR-{Y0ESc>uk;ptjV>fK^H7(^lJ<^^vYtc@x~fEp zp0k;z_QtWV6D%-SmQH-V*3UV)&ewxGWm^F|X#*jST$<?s-fRhXUa81+-L<YqREnWP zy2=0xF36fDB|7DR)8t=h^qYf6{GkHIZNQaT^<E0`>);-7j9^zAuuL)al0wo7%ynyN z3K9F{HA5V&mH3&}cQ(l6dbql)4UXV;ZnVE!#d%Szj3QiP)Y6EdK4RRxVa9u1u1KIB zMSzUT{!}_QLiJPkd2fy5U)L|;%o{I4u$zYNeW*qp5!*#t88$ED+%qjZv^l^m1IH&C z^l&@<Q7=3!?I&4<q}uk41E-Y+kZ-rY^+o@YadQbu>yeUKLujAB4C6l;*K8>kBuLvY zr{aX1Th8b?D5M!`KKP}#G7m1u^rC`T{kMillWHwg6nKSk56$U1MX4!)L^yWDTmiO2 zL6k&kjSqHqf)hqZH}0YNMRbyg)2R+-lC)KnG=8wXL9sG2Iaj@d01D|j;4M7~DWT(> zKcCDEI+$1Z8<!|=Nf~ogKN8vyp>=G+9mT3`5iu*8{UgKjISs0Z0Qs3gycBIxD_WZc z0`KSqdc;f}@(Mj|A5&7>UsdZ^Ha_G@3Ma;qv1I(|@-1$1oojqd5SN*F55~y4Mr|&& zwi8qN5)`cy#LO=mDS&RcDu8VuJIQ#4?g|n$`n=$P2Yd}dB%YP}o4~3Ng=z|^XNOh; z{UPNyxI5tlrRN23lI<VirtF)WkT{2(1B_-=S&>i#dLZCyO~2=-xW6^I;v+OYt~nbc zlAwxPe_Vl(<0>mh=I=bU(wGY~0cHP9A2knN1iNsl&ip7q>c_`$@+#i*fQl&nH4j6H zSR6e5umm4m<<?Ie9Bt;|4B^zpxR&)k9(}CL*nx+|8sVOYMFiPrIMVD+*;(;xq{9WI z_D}1n^Xl6yoW#|2$Qs*UoqLP}paB<SyZvQgmK8F7OeL0|^0@D-U}XDQXh*9+2YG5e zf3i*cMeC0OD^%h=zDpC%#zDW6t9*UNBUKP<#1k|3E-0u{oSvS?A|)!Q$>7$d6y*z| zK888iw&f`(K2jmT7Zf4COvo(Dtz!prUq_aL;N23Pr%fqp5#Xmt|1;Qcx1Y6Q>9gY3 zBa!;F3TnJOuSS6>DA}UZmXC?MPe30-diO5?<%|LB^n^JG15}&{97y1Te#XSXstx=7 z5B<ZMa~t|-k1PsLBv1Aot&}dwLh&rE@fx`j|BRMsT4sM%N(w5qkF5?!y2YGKj<MBR z@GANK*9J2NGZoI<Z)fr<zvE4#qVWS;JWt4v8`@Dr>%u)s%C=>kDPZIU4h10@$E4<f zPi>AtpAZ|(8*(baz`B$WlQ0S`l$gt*TwPLl;2f2-C{arlI+Ns!=}p9n!+(iXZVHT$ z=YnGR0)<LfZAuUPCyU=VhEak2P6Y*H#aKbI&9Z>;?e8!OiTne>9S#mdOU_A}-r6JW z+4e`O-=JiNr^#6?hB664&Q-u$wQv#uUuS&kt$R^47fJ9W5h+AddV}ONX;#eWv4VqF zujYu_I>?{!Te93?($=g3?Oy{58`3*c^<Ol5jS@vy>I$0FeeDmr3X3)bV_N6~bsDg+ z_3I5|va~AZPDhNk=F)S<&XxS5HfEY{f;qgc%@_nAH*#+=0G-)rFAc0p>vc(B9M|_D z$r{aRr7<}{AsLG4k~8RYu}0!l0NTQZZ7Y_0vWAAPM8)*)Mp;ix^{sZd<h-ehf}&TO z1_*Jyyc|v@GKwvEl-~kzshd->2BXHrA<prK>?dYatv<4fa<{5zE|8PLTs9;|DXW1# z$D%<3c96xpOvV!Zpr9O3&$5-k5>=}dB(FDh%WTx&OIa4i->rW=7Fqe!p8V^8=9frl zYKvSlc*vvfldvF(498e#ilw=muBtrdmKPeuTyo#5E2b?N*&snOcN%x5Q<tZjOby)u z10*Og5&d4^Q_DlgC6Bu9Kr1y#Y-xV<2fL7piQ$ORoxgG~T`m$uQ4K(GI5(G{#qVy; zD~^j;sqDxkfwlZynMdZ#7Gs<>(Y-)x8-7&I&V1_p5FzigeG}|v4%T$dyQ(wz(+r3J zrOSLDp*@kgxfsoXS9(jHbhzwbcw3ismN1VX@y^?Sw*?pW?y|H}h>gU9{in+Fi>Xo( z2kxUk*amH5LZMn+z`&HvB{Cj`=%CpLd!^P%o8$AR6$gR#upqiO@x6~1`H&tH^~B2h zV65s0LkEaYe_I6C>Vxwx{7wny1@FU&rjQIYA1d$0wqCBbK6w$nxpRK$1h4n@^jzew zqB5Z!4?%3N?WQ;q8x;I;Czaaqb5V#dB4@Yizj7+sAQ%9gvgLEdaYhFV@KN>k+<V9p zoc2h<!eOz{veZZ+Q{b5HFeL^Hexeo;CK6P4j;n^!XNbc-Ax9XmQ7TFh)I|^BUk>bF zTuz$i>DH|w^bwPn^cCB1SDg(%0F^vLR(<2zr6ET|*zNg5gT8x4%mH;@k%$24Qz-j) z&FNHzGcb)ERU~gYD)MC|-YBn;6i+oyD$o??kdDt9;g$v)7fSa%Z%{Z_Fy1JRU`oz{ z-D-eZgm?scbhEIOgB-^k|IcNhF5LBLC<eG7dLC#j;!*MClJ*zGsT{sIBg0rO%gt^o zup*pWQ{su|;!9oDY0g_T&k>bUUxL>ki%;~)!hna{rM8wSEcR@WGA>b7ZZkw^m9chM zp!>(OI!|CAnQR2_^B9V>vji*B^)KQv&QWGVIWt)BB@Eq(E&@#(zao7fv!wbpX;a@h z!6r0MW)kWz>NhzDF-6aHwh#|*(g^H3dCTN){rbcI*ahoKSa<@d-&3Fpz?lNNJ*t6t z%fVGCeY+*ATGcK>KSc$cJRGt6M>Wn~1J00W70XHF$lM4g>FWem!9y}FG!gd2?_ayV za!=o;K3Px4nARHv)#sH5kK4w4jPZ%}ler&*R31;lvH<K*9!+p+WFRR*T~(Dl925G; z01mqy@5!|ZMn*=&x=6fs5qk$^VhHeaBgHVzQ;4R0195P>6;&qx#81fkb$7c5)zX@z zt#22H{9ZT_dPLx(Z9GfQY5Z>Ubd~6+=jf9>HZq~nbc1{PNtCkXxBB^DDQ<EyhI-9N z=u(_h0q)?boK3kSxvFfE>G`1XU^avd-Z)RksP3L%Hi!(?VSa84&?%B%ETKSuuo?m* zA%Ab4)f_7_VgB@d$lp}#Lu%VSG*CXslbakn2YQ()W<Sm9MfZDn;BkG*`hyb-X7!LK zJHL+xkKOiF7;xB$W4WmywDRdKXPM!!d&T{6h!XWFWn6<5=XO2FG8ID0pU!+r&<G)& z){|i6TM)_awHcJJJ4}?x1Jb3ES{Mg|eqd1$a&MFPD9CW02#ic77)_6p$#z)uhm>fH zE~rI`aygK~+u=aj>C5U_l7~|wjjSiI<YT8x7l6T4<6=r{lE5+PgwkGZ7QqlN2G=`O zUT?B~ws7NZ{mFQxiwY%BO>viv3a3Dq_~#NP{QRrj!77wnl*pWqEI^;#bE4B~+sO)% zp&R#v5GJAqov<v4YB|Zd>^+HMIZFI_iU&SDP42jhf(~S06D?Tk<9F2k87D!_&OxFt zK}wLZLv!Vi5#0Yg;z|<<gVXMZ@DXM$f@R{2#d@E2(UaJMsrh*MX32ak|E!-u4=BB> zw58oYH%RVSg4;O)-C%X0cro67j>>JVZ%cQ<>z1DGj$jm6jqA*5o_(C^=F}iQCdXGp z`dwU|$A1in0)lJ!ZYQ5X5cT5%Qr$P;gX+PrJT}$fBIJ$Mq+xdIevhDCC|x9U-5~LN zRfJskkUTre<I94<B!2m?(nj6?{}$TxoPqzXwEe$@Hkp&Zg|_UFyDp~5oO8U=q3X+3 zt?o0r-m|T_ZO(PwE}_xOYk!3F84+wn4DtI9#;*Koch4<EZ$Ivz!DVJ}r*F^Cf2MGl zGCV@U#9W0GM1|5qifY2Z3F-3MkEr0OMJw@LskSseR!^V<l_w}{(D;hbBw6poxmoIB zB5+3Ct%HNYMdRfHct~w$GKV7jjeLPOUpNs~)Dc06h;j%Q$L<&jKHh_~@V;lm{l^sk z2}`l9MyD*+YWn{YP$+J?yLahhfuTL&QZs?<T%J|0uI-4C1pR$c761!JqZCjQ4Xg*E z5i+LWRqY4f2lTwZuf02Nc>uZY;b&8u3dg6&;`svarva&y_7_CCwKI$N&)$R|q}|*R z$F7CB@U*`e10-SIWBuUDiA^Ly8C48v?_xG7XIP4&H;E&We_2^Tvq1g;Z5v{3X&+1d zbeFniJz)l!NFr2eN>QkX9R54Jq=*)fGEgk0Pq+B;WtSBmA_BG8c4rG}e||zsH7jC{ zHlGRd)c6g>m|@PjC*LyBkB5K>7c#?^2i`kXK>JUeJtM;RrZA1vZ@(uN?O*~Q7J2YZ zMqGL*4-aV`o!Q!AJ+FJBgLS|};LoKc_CI*p48Q<3Qi`-Z42ptMQqZoa8R#W|xqUf9 z5|`o94429aG3A&vBQ<^%3kvFGGTwE6?vdVHwuT+&ZXO1XmPtwsErf-xyFO_zX&=HO znPCR%b6#gu*Lsg6XMQF`v8ZqQd1^di!zJd%3zujzCWjh@Lx|G;0Y!;~b+it5K1Cg+ zfip@QcDo~g4R(86{R+InlI#d@X|3>uH~on>wTupK$&toGo#|n-?q?frztd18qWTU> zmC(8{1u*5+g;5xcLZ`;~{+BW?Y7gHx=D?>wTJUQPt4P|TQNynQ5KA;s@o_R<iQh{8 zLM;p`5VO2jj`W&KaM3)}0x%S{<_lv?gk7S{@j6el)t4|?MBxyF18!zxFXFpxZGGoO zs0K*h9W?^S(N|DkD<|tT@7uY5Wno|Xyo26|8tq|@M{nD0P4O`)R%T-REBCZVEFftc zz1_dT+!FeRRm}E$P~5+R|KrPt`p1_q<A^LjK*Rw8a;=!iXhZ;<x!`jpJb1ifa*w$s z>*=ssNFUoJs?T6BxRA*r&~)vNw3bjili!HO>m}6f&FuqS_J+*hh9L66vzh`#jBP1q zb!}HCl7;w8Y=_xvRBui7V?R3O7ZKisjGK=5^<y}XLcR}G9y#~@kg|CkGM=P7^7iHv zGCH|9QSeTU0M3C^=ktNrGwh#F2|rXhgM{jj)?C?E<gr~)ygHgUHa#e2ssk{Ey6_ba zY`wa485d|i|L(R3*!5@2R^Zw|oi_(12ZH8Z8#0s*nz|Rx>d$=>*TH57qV!`dY}=dL z@F%EjQob$Bxi)s)h!>uopN>m;9C`ELmD0cRX4IO3Pw)fh^jgs@Wpy$kehHZawh2F$ zcD`JGGO^9JXC0#$o7snd-ZAHuTlTGO#h)m7PplIvxyh7}yn3qdi624ZPdtJ{tx44p zqyENLH9PTYodYnYxxWRy`+fReQfOnT)c3Q{Fo7YDm5PdjL-2xs&AzSbmEzO;ND0?$ zXdbfGgUczv3nd_6P^Ed~*(WI9^^Fq#o<sk8k4jE{9~{Txh!!LNNJxD%)~C~<-zYmi zmj0bAG;eW5J|`b+>QD%vk3`EvClhc^8}eEwG3;J4FLG_uQ>O>H%Le_di`wfB3#S9{ zr#DxYY;V1UGgjC)iHUB!x#S)vtERk0OzT;cT4y&vN16LeP{$zxR4VvmCi1kcxIg~) z<XYD*N#Ar9U^Ht${1HC5HqhjVSHk)b@ia1*pc&{<p(a1C7ewI0NgWOf=I8_YovZ-% zl*N#8363k#-fiw8+4jqS+2SIVxsIxQ)Z<m)As=XO)}=SMxxZnujbqHOPud`6Mj*NV zUHt$&JiK3RW6FN;&24DTDP-s=JyM57uo@Jj>~+(h`tzu4uf0Wgxz~i(iD31Vd4D5e zX}3oFk;4cRd=_M<dMQCjA>q(I>H{72@WD>l#vL2ZRXLE&-U5?!b7!2A6Hs=y?GHJl z0Dq{Xy&C=q5>?L_W`c0C$#(-8y!o)f?OqFL&B1113#QuhWQ*WTj>A>qp3%7@Ugbhx z-U-0`>1?)OK0Sy=d}jSK>O<_8_Ja$C*93Gw_x{U<-w@C_DJWO)8oqaoeM@v(GVZq2 zG;pl6i{WGkgd<<!M##lEOuTiYq(*AjcL3{3YB;ODmR;(@vp{cm(vJEeaSM4FxiJ8S zy88KB_W|Kx_`6!=qP@L6G7|E=@hy7yn*U-e_ess%WQ~t|52J1elFuTpD>pq07#iU` zN2W!XCOun5;3uk$F|1kiFPtScT+HbO0W6*krw}~*;t|62$uHn*AUmiRoCJjF!>MrE zKd{mA^6M{pf^OKei_m}QwCdh1G~ocN%urL%mxYwAV1HzyUc`_d3NV+6h6j91vL+1; zZxq34ub5<#?p6wePMJ#Felz+Aoz}S9EaB#C;_O#Q2-T?xx}b(hbp`J~;tZKn+|rM4 zNm-WhC%zT2Kfo(WNN%>?bllp*CZeZd{VsjxFCZh+;$K+?)vkA=%{r~E>HY#3vbxs4 zzbM^b*D9wLh`;Q>+C=wL_y{A2EVObD)Y4pT3O!bZemB~$$z9DO92HDo|NX*XBeQh2 zeGNBcz?lR$6H^+!-$zuE8|5m_c&e3#{JpfbnBd1@XAZw^r9_-i8@2<}R`q@A%nR09 z+5<rePY2*t^CDvx`oN=<luiV^_Y!Z3&X2e2xQCyDZy^T2eiFUP=0{_g!}LUoMM(2! zEB7^iz^*u3q|kH?T)s1R6Iuw{BPbcvkCX{UguQ|s;eek~e`yqs5>w=eJB2#gw0S#p zT4iF61+`0!<{2Ma_?<Xo7YZ##J@u7Zstjj<*-E7f?k0m?6-yC9#gPJdy~X?-bp&su zCuSNTe}FSL8n-_KYjMY#+ij^y{MrZzJZ0%HW<Hje7(M0jQ`;uSn33#Ziu?UyCJo$< zz)0~bZqdm1u(Bk48)n4PshISn^?Da#^``I8ps!LV+vnu3P(|(Qz|-z7_0;6{$J)u9 zMNI`>7Lu>%wx3ShIQsxJK|^n^{9d}W#z@x~BZRPTIEza)Lg8AXHW<_1WP;^&CL#yp z3(8)U*ecbxwOG`7d>-yE3szVtD-~{fM>xUfLKjAMNM27wO!M8BvDi3g;&5A5PeT6Y z9Jm$KmDMm4@!vZQqEPz#>h*jJrw_F8Pq(3DIrUGG8COrJn9u-W(#fUu9>!ryjyKj% z!G4aEZoQP1M~m#ZChIsN{g|I|(^q{#odvgK5oom``h2lTUGYsXVL}pGXnNLeyb`n_ zOxs9s+CtATHCZ&C7rU0^vI-nYR!$N5)9Ppk5z_ADFmH+cO?|5REUXKEoxOgdzUuok z^d5johyc~nAG`rPMLDEqiwqj*-P}zep3-7Y2SVJ0KW<+C>573p9t%R7Yp&o%>mwAd z{Ygx#Lzm=x<v-cv+CAV<QWJq4b43_Rt-}5qt;U2iQ8V1vsFe@;mEhFLUEo=5?)>-} z?Y^u|A~CH(?{)p6rix^GNIl+Vvma}3&xX1nhnpkuGl3s)Zq}kWJ<r6Ceti#23Ju*G zM(5qsgoIL`$_MHHP`gL}`3BFp{#~E1KiM2BhnOhuJ$A0E=e`H;9@)qOl@mlW{gWXd zvau4jWm>Coyu9r@oA(1F{yrf|b_vW^;k^FdtVZSnl$m|h&#n~bQ+bJ)qRv^k%=64T zc@LQJghL#_>Z)Ps)%kch)_nqIP*MR&TCc(JF_Z^yDv0KD6aZ3py$eQEBe0Zdnra)X zl!^~x2-@QY_T0Wyky%%wEa^X6Rz5xGud893t+<kx$jOm7{KjvD%!VtUxI))CFu2nX zx9?Ip!LBa`{}?Dc_@+!><htQHa^E?*|798c)MpAHx+hV|kx!+9JdtT2I!dUC72&s6 zkBEm`1M^`6E{oZphZLW$x9uKmiQe!GD2Q|qPHXS6ea8jsQ$v>^u#OKW)0uSLqAgn` zrb<5d=SXpBM{6Bn&ACSBezcUpuaU}i_1regHt{Mn{i#3F+z{o;R9dKXvzc|Z5zMro zU~mPZ3-Dfu-gkt&xU37WO&)HKKlyw`qW>fh!yC>{Mj)7piqymcb{zX>ncYDbLulSR z*WpquEnBR02wdXw&*_(DeV*{k)^MTuh!jKGQ7Wsn9#7{AU_nSt$AAo8DWiZQ^>mn| z?I`7wirEm-GGfzd8g1Ko+@}_^#qzpLq~%)RvwI@#^EAmS!yjh!iNeCH&2%*(*8*ci zx<o=B9r?Gq*B8O8`x5jlzCHpec{?0>vXaX{?N9G9pd*Vk1ezlhP^#Nb&{aLeY!<&O zZ~bHD9{u=LXeiH`-JZd|m3M9}j|dRfGhk)<*%^i94l}sg(S&8n<w;$_(XS?{F0%Uq zR;3rMU4uQB06bX)eL>TkgOU2z7~rHgB6ii6GoxB=GfhjeR7nY1S2Xz7m_qMd|N0sj zl(7Q@a#<DqoK?#xPrAG&@`yECFppbIezR@s$hy0bn_}Ymp5`^x+?_M$pKmu;POXC` zPvQhOpK^Z76U~me#y6!mk>L-Xt(o!zpTE9~<2l^);WC<!MTd&=DPS$mpyW2{HadIq z9loTrKLgA{Js98KM{K{31vB=UP5!yJ=lve?WsR?e07>8-+cn`Be`#x$Z2f*kWmfRL zap9ZKh(z7=DHXq1m9|{S?Ar>qUj+m?z0Bc&T{p9v;bC1njf`dTbg8Vcs6ww70H4w2 z&cUR0cIW-Gd*6|jP)!kl=NT>>IYJJ@)+#$`m!*hNjYm~`)}(5XEjwHl4Vr1%hF>;2 zChfdrT5sYtf(8FywG-NU6Hjay#4f}=L#oNGU6!T5K1Y-!O>GfP|0tj5u6V3xlmt_{ zs7alIq&-urtuWcL{W&m*{PxosE_-0{o1uzsdhz9EuW<^!MGiHo`{XXlyte7wBgrX5 zu?+9S7%gfFxo7_FX_o9Y<AMpypSbw9TLj%0X=ldj#MR<(8GojuMXoW3*?aPi$d_yr zv=qqxk(EV_P!JJnNZSO5O4A#VqfCc})iv!wg_dPY=A#xFMruHD>Ch;{w@tC+io0cJ z4(&oRKUDX|an+xs3QzMn`qvrko3m<yBiaR*9kro)+46k&Qq!xJH8NqMD&nJWgp*QS z)KXKqmZV-sQ&V<~Q|Z*VC2nKz0^7x`i^;+X*;2=E^%1k_IUPOto*^z-zE5FFNZta< zR0yZC$+)lfLgv7+l#D{yc17fYT~IyR{SuGe*{|cPnfyAx{;uel3rD5T4x>g-t4DST z+b)DP7D@C&C#GIp*LeMt%2qgj*c+6)<f6|@o%yTfZe2R$*hgo^Y<o(p^-`Y1)EZwQ zU1B`CnjAxKJga<vA2qJXJlHvhcGV2kD=NKS8ztUK-pl}YQP3r@f)-4ZVT2Bnm)e=4 z%kS5IF+*dt6Tht4zr?C>TN=<L_0=0RW!^fvK}APGsKNiBk;Mq$EM&z94EH`roU!gD zY@trR@{ha;y}7l4i#_l-*jauhR|xyE$?lYp#bjT)W6G<v;y7N)OhdgI{bb$<vY^N3 zO6k+ZomBy}Z{D;f#qdw(kCG~8pd5@5&H0sYyrng)Xvws>#ozJ;+Y{w{w#%k!hB}Sp z*cAK%R*_BVrMRk(tu)WiTea%Ri=ADWkxggcnko8|I_u2ty=u?$yQWK1nC-WPiX}s5 z+gfA20nMg53Bs?h?v)N^*FW!8Yc3Aia__=R*W`SF&pC|v_rwS~wp(hWk&`A7mHrPM zjU?41>wUpbnv5{YNJEERLy%UimimDlyx8Bl4nEy`I-=#4LG%0Cf)p#<1P2HEJ%Yc} zutc&Uc)#A<6!~!k#6RpeJO>JJj`x6rH-r^7ggvXr3L(znW_^7zhS}c8Mf^ih7Gjx9 z9$66r(0B)2_kr%lOoA7MJz1LDd?tBdM{eW%9$h9HPaTibkw6~*1=WsSi>@HvCqt`E zM;4NJI^AritYLa!ZEG(N$|_zQCb0lcl)^Ce55D`br!bfMZCx;rt8h1=Mq)oMECRia zA_$F}SXB9^ZzPW{m*rP9M~#9#hP@Azgs}}W0H{=w%62ogYvtm}^W_k!h7<oX>UWW; z1@u3g^xmYL#Yq0)iyo7|?8gFOaLmXhC6lBbDK+n5gPVoXu69(4eNK}$F^&;%zni1% zdy?!+D!9=OM=C*^&zEOPg6zV+&9vnYessM#<@qcUWj#QTI9%{u@W~Jv+hPapLHdMD z0YKU7BVOloKH<BiHXc4m(C#s-6>>m+j3$vQ)7HaA;>~0@5`UlSPgrInH9^WuPAn-W zSL6!)-Rc6LDpe+E=I<gDdNV<vQOiitG~^2^wA5tSka)k(39=)ziD_ML!pPljntd}h zDGle)Me)m)d`Hd7M=ucW5uVR8B(6|q1|HouT}Aw9DMz-c_8SRbB9NBe1fwTJs3MqQ z?;R5;JEq35pwcl`zN%QxA(RE_I{D)cSG9Z>*GEVuGbw75W_bE8eypVcS}ES48*}#~ z)rKxg#A2ys6w#bgVWvG##D>QgZ-f*jNGQGvT;I$}Vcd+?N1EgYPAw@}U?(jJ7BGeL zo8jWbp4k_`EiZInSN*bK8B)|4nQ+`0&yMM5B3*Zs6@SY#^*POP$pk)!JE(BsW`Ijh z$$Y+V(yP=Dauuz43&a8O23olE22E`Ck)!4Kp0@^F?Vj1cGucp?PU(Ud+gNq~8EYYf z&_G-D+JWJ$AQi5(k#oGOv(@@@2~Y|W9gDz6gam%VwYEfpuvn;xr02Y{>H*eAkzM@M z#4O$*0K#M1?KYZNhvvp&IIfc(jlrCz20{L7P=o?1W+k>q^0+(iOi|r<y6hFlw&+{u z^iN(|7Rz}^C>P?wK)WI&1moQfulJt~Zc*qa7?hG<FeS3MX?*#VB-1YKxd0tY4wC~^ zOieQ^-3e+QJB-vU+)L*H8!uV<W$gsRUi3C1#e^jp=Y^rAU{L9o6dBf9eA67}DH^$J zc~f4@C^`#k#ll_L^5RIuLfnn4LxW~26ufw7!BTXgx^#n%u*AC7a?sM+y!zQEbZTr3 zo+eOQ7Ls!1dgAL!ST^z`Z9u|QWo8zX_1))>biyOP`l9E+W5i}7{L2&pWuC}DQb8W^ zI5nK{N=Si^j&5zLtqUv`=i-U6(i{vjx4HF*l?MnLZl`p(MgDj4HMuv|g`KBx_Y3D? zL-_sR<R9;EFE4(%0_IZ3=yWre?KklPKejs2#ugo=i#F3I&msb%pa57H*tCFAWLnZd z(W#Opnjl|&JL<ga;3%0qV_NEA8VF@4$TD77^=vq}1Z+_C4lwm#hzb$NU&A8BFPI9M znNl%u@(O4rl$dIXLFGDuN5TWUI9|}|;?O*#O6(CxtkRAV4)6jqB%re-n6o6Xvqq3b zWMD;Pv_)iSMTKzELjZ*Ikh=5`x%3cb985%6fV3wo_qPT#8>@ly6=AXH4PogBSz(Ip zroKiKhH`FV6*V}RLg56O0mu<)nv@p;wHsIzRg@9mZBf%*bbL~4)<~HVh_aV8bMn6u zWn{Gcc4WNpo|I`3+J{US8O^^fMvfj?x!54Cx`NSXWdX=fkO@m)6-M!+*?9GTi^z}^ z#)4Flz>92T-_AG$!(^evjO-Vn0kvAEF{|1`rJ}T^1a+EKCX{E5ZzgTZkj{!L(;NkT zYF~9IYE6;V`<T)NCt+<na^h=uJ^e8XMfrJKux*$$Q&uNey)|jddxVd<;lq6DKa(e( zaG)Z~2Dq73*kn6~sXsF2H=ml4V?a|{E0$yP3<S%RU|>^{6@NI?e>l*7IFvUt<H`&K zMrLRoOBh@kCRh!Gj!4rX$<L3gGO<QOC(6?^$`|#kmQrF7llKQj^{Y}*VC9hxg2@_# zTD@rt%h2yi$Kj78YSV&=1Se?+M46Kl14*9_dLYyd=s2X)A<H82qQ<wuHR5&gisr%8 z#g!$juL3KE84y+NL1{_LNShvoHJ&hNTG-1*AYo_(HRAR0icUZL<S>^9EXt#9XPIZC z%`hR!#l@3;gU!B>O${?}3eWe?=8<C&UxUx0MGO4mD6{$q%~6ln!jm+pU5)@U)c>1s z&HNay3Zi(FC!g;!qqM>jE_TF8n$Aip0mCOU3aY9fhc6*5_hi=CO)5!IJ6%U)7Z)%3 z3by@XPHL7!$x#SGl7B*r21nznM#e?ssMT-BV7uBDgZDGK>}-S#Q{^-KiaYmwo_@bA za#mED0?hJ!gaX_W=9Mlyu;_lG+{Zzqs|9gVDFU6NGL?HtSU0qBE`=VZRMe3jv+%|J z-S54hO5ts7+YA3wJNfsY&sBg^&kHnvCrIzW)VA8YL!X)<$xC<XlXgSqtH$uWdabGN zdZl()p&OFGpQ$@%IBbNf#1s9O#*#N){TG$zEI))UsnLttYajhL0O>*FrNyTWVpi-* z#n~l>$jlC-3oEFw2xT0Yg1FfbVNS*3*kziSYRiOf_XB;)Am)C7cij5Y9SMM<C)k>| zIi{kj{(zu(gG_qFR^I+197!%b@;NfLPIG%_sx5DnQ7?nIvm6|ps$5RoEwT(LqF)R8 z8hcvbmvrx!2q73Qu%Y0dUo;8hbkhZj^p4+u(<So9v+mgr77m1!q!_kePY=E9g3{!X zO&_Pj8}yDL;r`<6W|Fx@R`-(n`_piu;*4N5dC%e!X%~j4Q9(t%h#4PI<?0g*^0;>V zCfjSN)RT+rzKfvV!Pmi?5Ok^?p^Ato=|lS)=eUM&j>AxQ-~i2Fm3C{g>!NVmTxfq| zWg-{&SZ`(X_I<5nV3E_ue8d0rGQ4(d%J_?8P?;#p%lda5{}UC2E_b@H4R4H{FMOEM zXw}At<P6*^E&{aSqjGq;iKeE9AQ}a6VdNE_Ne#OjUM|fjj-3G{J;>1C2_GhCEqO@v zEjfnesXvup0P(U46y#OHQMZ`n{k7SvgIwL1q>F~i(phAp`A&%Nq5V9Z>UcvSBQouU z<b}QQ`$+b1Dxv4prLrDH<<r^7zBXuqidxg!^%1h$^Bo)3?<>3~mreW|dOx8`N30Io z?|cx0b_FrW*o~Wm@d)d~oAzTT7CQ*$Yvb&`c|vov1A5`o;~x7O$8#Ho<{(V5y(Z5D z{GGea&;iCb0tifr$_3_~MhAPKj!Vi%JVqn^@PYzS)=nifG4KTK)uL%l0vHaWX-d?Y zNl$DOdk^4$fG+E78aiSN?I{?Z7OL6J`Vj~Ji>9!?^*yU01_gevw-Ptdi#^|FerOct zR7W8_;5XAD8Js|;T0i>AD%T+@D@ocMYB0iGkd#{5Q6G=aL)|dAqK-5<#jhn6!C|6w z2d#ay@U`gr8%0)veVhprBa*wNt~^34<u%g4GFcqc+({5k)seRIWxjUhGPV#2jkB$@ zqt9=-TeAcK2{!GFc4*j)c4uG`RZUWut-tTafa<o(!6)S9pwxTayH+Y+(&R_hYx zi*)3w>U|U?z!OWV$$fNEFnf-DyeZLh3pq9^@d#?V2;u;Bin9*J$fXivcvr?Ov&rP8 zdOLVQT6vX$;`T2^<tIdqX%umqFlVDtggwsz?Bx2iQAz}vj=0N-ufBb-H<(?@klLe6 z0BMHDIYwWhE5}!vjAy?i2Bt)`(O_4lF*=T&V?rUt*Nj{+^AQHOUIKExW|I)qhz;W< zL;*R(m|=@s(KJ44+TIrdZ5X<B$D8gUbnt`OP_ByRV1f`$udF2|d4y=VOiXchBZEvC zBMr_(SA!2pW7v{27(Lg&2@cnf`}hGc_xi0zMgP$}`!vUF<#*gGLFlNu*lJCoV;2`3 z#zW1@%FSOG*W-m1iZGexYr4Ot%ZkQrkIy`^rpZd<!ZuKB>BwQ($mc7F{i*$^^-DAR z5x;?Y+#q{o)>rZx#U$!OX;OUluOGr?1Z7;J2HbdQMEXvK9HWs<ZyaXGb}3M;(VQyW z-uZnv$v@IVP9pbfd@wdt5;y-3E3&CqHfQ};^3OAr@Z@@UQXGsiS>NC%i^kZHt2@}g zS?l5Ppr(S!m<zeAbf1j^d1~6{T7ehhm;oq|V+00}W4q*#Jedn$G>}yG%La=%goldn zuwhdNRbu4GsJ834LwG)IzfJ(aV`0cKlGllX8eR_5LIT(Khf>d4vK%Y%31=iU^=6qj zECcBYEdzHWo^<G36zOSAl&D<HYtjTf;|z`RFj39rDhWgvj6yIKQvU+}-&R%xTM2DO z_AI3FD;~2Pfrag|tdW@24Q}6PxgH{~!TOVqwJAF;dJ#PwPXn;?8x;Ye<~7mc#5<hi zkDW(&n(3f=g^i!DUm^7nOwS3e`iaMX)6aBr-MgHqj8Ma|n?0mDgeH_f`P;t+M1))% zXexIRje0o>m^+m0xAjzfv#SYgY0C$I<u}Z89_|ahEd35SZ-J#QR!W$^dnVE?Z4#dK zy%rvJ|9-4-Mq+$UwE#S2PD7Vs!qS|T*Gw_OP=fq_e(Yd~!rdeW(<m%z3$q2tg><)k z>h;DE^(3|b_kHUZh5c`h$IZPKEU@;%pRcG;0WDi)fA*{n#IUiH9apBUZ=NUc8h5}K zf>q4cqf4E7;^DleH=p27sqhBB7VNx=UBrz%iYi3f?rs635el|Ljjubi@d@ScSY(i& z$zst(Y(;|$f4nwt!I$7}aGI0FqSzMl3l@}h5-lEgGN-ZFgHQ7J1_w6=&z=g)XfGuV zk||#HdiERb#(O}dpGA<3kagjThQ-?5_Ip}5)Yrvq3cLRKJiH02J162rORsR*;4kvS z5g7)TN7x3~j<QzvCI4}p%#Z-DO>g*{J4~Tog_m<?2k{zm6`?fOi^C|3=E>N*6j2E+ zE6Q7H|2qdQ)}pFjanG_#FP%8MJ|8J9PY#js8mg!Gj`82W373!?I|@s@&>Kc)Pi`5Z zyQsEhDbi5td7nYgcTI_~>Jimxu4=aj=CGSbo2>!yuEB|5LcJWm!_(wg&|mjZj+Z5h z?Q#}>Xs>EE0;A#`TH{7Qbw7<}5D>KA$<rBqu7sZx`E7IdV(;awn9N{#)w>T-5>IaI zG*Ht{yBbdBwHkENgomQrp&q=ze3b9*R(82r3Xy9~a7Oe83{wyed`Q02iZ?F6;gNbB znNJ4jTz)i~4s65MAD-O&rm8nV)%Vb0U<2aOYM^J}D$fz-P-T%vkq@QcX-}_o9Iwh> zMI0Wdkw$#QP^mKQ^Rh)1ME&{n$SY(1u>El_+snWR<E3VasPihPv-{!K;3zeW))D6R zu7>xw8@=1Y`%Sc*)@D4{sYzR{8&a9r+@>txZcC*=^+#~^UOA#7B*M@iPwTa=n)61y zhSB@bFJ+Ygf|u79zNYW-pzmql!hXfsx`m1}6h(jRY}s?7(Tb~Zp#0U_J(u$2R~mzT zp78y|p+9AGgXXW7-e=9VyYPFX14ua7OSS8{$<>AD8@fKmVVi1i;hnuE+{!*`Hr(u0 zfV0{1_m5+7*YnawM74ZUUx=faVWZYMil!Y@j*OL;{A|0=oVfsu>WU{V>DNVXTKTyp zCrw!s&L-BQvO8WHSHb!e6%NJ52Gn@Ghb1Eu?p0?*(_;fPTnsMsw&^WjQsu<Q%~L6L z2~t%DBk<bF*f6pcVR&Xx&gn-m+q}UfAYfmeGhIwZvw>N%=j(1QC|=|TF9oHk1SMK| z*|<0<CT0dq5%ZhVXhEuV!Od1*w`<>FPuTAp-p|5Qd^UU<be7|sW!i|GyqbJuJ#vVW z&KBK4SbWuGl2SFWSz24^8p@?)!5sz|-$j5C>I?(`k|yITLmfnu28$1zBNRI;kaVYP zqkyUcma6L$HCen+P~cMDSRSEQyrR}<hf7lk&Y)w>vi@_xo7hB!pH_V&h)sR$<1-PI zSVm=WIp1FKGetXXiy%Bzp<rA~)`rL0mXT!^x+pq%nu|iyIRq=~SP}hKj`K;FWGwP~ z)TpNVpZ2aY7r`0fNy#&FJAYhYb^^~tn`>1&a;>Ts%B{YWMCvT8#-5XN*)ry1uZsGZ z-h|X%QdDe;io@N<B;pvn_6$XtW0NX7QS?ZB9P*@Q9T9XHO#%;anByec∋dk@14P zdR5}hD=_eZl`LUBjkRc7)}I|n961J=n>jT}_3WydAbt$uKrIf+hS22z_hGYozjov+ z?<A-WLc3sg>r7MHSxEvUI=#@lNjIv?&3m3*lW6sl8pd=kuif9^)5UZ?sT@Gy$j1E9 z{e@>GkKvj{VvAH<gYp>#-`+D)EZ`avy(QUDV7EPJDX3LRGN&t`C8!h&64}k%XrZ69 zIZB7LG6V9}phY%*l=vJTC{o>V3t(TN#({SX2>`tYVIK|sCjR2d`c=t2ZUlUqT8js5 zU@ZF6$S$a5(r1Fm?Y)?9rhmO4i^Z?5oef!An#~gA2|)~6{1T`Q3?yVIk-?bGOUOqx z?JLM#AYxGW&Si|juW4mTTbwh=)5)a4_xz<l6s^*vUQP#m$p8rqAUv#2r#e%!dsiN@ z+rxqzB^_!BkC}6=8Y3=kWW<T|Evp-~NW_sjL|0w}5dxaNxtO6Wa5FCf+kn(v$5A=( zT3Q4T0y?>!5={l%%ZVX9D<^I~NJjk12$YT~Up$2nM9}jw%+1z)l^xYf_^=9k$}f<t zpDHlRUb68X4N%b9gb^jPXQUcMLK^jgq;v)+Q7ft<MOSfm><)*M7byv0pfFHM`AXG| z9Fz!~WDN(#6zzc06HQAXzP%}~fh?ykOlbNPm(G#o43`xFA{Do{H*qO$62-S2+1~?; zRUr-;nEEiBcm?t3>v%nRqKG;ZLg5hicTkP-*kz<ZP^!m#;s|&*benJ5Tu96UNF`TL zB9~*xBVXLyL|IBx!JVqXag;n^042BA0mqLBOYs3zQYS~w$>`7V_%JmJj@}cMx*th* z<r+gUReZHOL!iKH(X&8qR5isfjbNGS>?D%@PgPeP6$SIP=~!60JEUuYWm&ouL~=<9 z>0FlXT)IO_X%~=gkQR_GL0U>01ZfZf75sR8zuy;g&YU~*%zf_sG3VTW<_2RPTA{YS zwmhC%s1Yqc+sy`6mf;ASILQWXFOn7*Z-$+%L!rm2NUQ;~oZYNnavRw&P_vuXn;5rG z9aZA&H<A!2I@)mVc|S?j9>#LUT4mUbM@_1+_{z$_BY|5AioVy}HUgZ&^*sX!@NY)e zd{S)Gb@Kq2<VBT~zAQ0vvjU8(Svsmx{4BcFchgA|`%u26gdsnY#t%hCAxr9B9@#G7 z6lW{f$mmZL8VbOHiV~<v8231e@#C~3b`w_rPa48Q>o>80H~f=T+)?M+Oi8eDKGG7` zb9o8_Kb~)bq|2iRzbMA{<{n*-RMc_b<>{vXY(tfPcU8{MgcRmHG4R7LEX#$>1>>h` zh!=C?khA52)!S$<>2$#7NN9C@j*g}DTX$?!eNxdPMHdhj#2Z;~UtUr@F}F7;y6?Or z7F(WzJ{IjUL{haI71n|PYL#Pn6Zj9nW`VR7HLUEJKI5R=6_bpxA|nOMB4t2S6rDFE z75t<2^YU{;TUMOSJPvL$dsEBJ=aztXUQaCyjPV~ttbuXi&;c?p3gPIlO4sdPX?pg| zuWq1M)emdEm)4M&6PIhVL@Be$OUMG%!f`L3ul5`Lron=yS94+6ax>_=xJXNP(;&<y zF;riVYdk)gbl-V?xN_+xSOhvxGT+C2z+q21-;J@%%^QN<Ec$2?0<Hg@3@)*wCM`-6 ztq{6B<D``BP(iwDhGl`4UV7I(_OFHq$B*e#EFYOs813@KM$M!*${WBw$FE_%ur3@_ zF``Xe!>ZSA*6zrWY=6A_#FihxW)fHJCfh-uW2kuExrPt?HeX%dKiH<ki&nbEbj!$K zCJyK~fl<e!OksNft@Q|+eJ37lI_KU((A2uuY}QBz0=d2C0A8bOpcFR6{8eHBKyZ|s z+wkp#q!KFJ>3~wbmuk%~bSxfe8LWG=r#Rh(w1&FZh|UZ*m%wQhq|``-C8?#~q)`(T zd-e(&qrLWDVr<4#mkl7!%G(hnDX9dtR7Q<f0<AA#c|9AjZwXQ0Onnf6B}^?l>N3i) zWDZJzbmXn_r|K)BkRz}wKFrYSyWL%#i*AW^=@TB%B9k`p7QJ9;62?sg(@O=n*{&|v z5EPDx?YZsH1%f<|F`~71=sckwNiu{IYl4b7*cU~nsMkI<KA{D>yiN)Mua=a)^BbBG zJgJpX`A3VUeWB++beaoiHl~GN$n9F?MBi^g$%#a+W3X?1`YLX{AH>t*$=rJp6q%3J zwzx9QDu62ekM{PSWw%*CB*A)7Ei>}Znx!g|T`s1BS9b_KSSWej%@qW&U~?JlH0BjO zGm^x`;_yjqkr)W4Q)iUeED@qK*d-&Fz*)0?+!`Bpi%_Gr(|_$ez<pJVY_UdmaK1zp zD3O;)FF<nS8>hb|I`eExfMM|%&Jh@E%0Z2sEDzh!u^DW5VP*xv&K(W3Ac@z<vSu?Z zPv@fx{a%?@ro(+4W0YbG5k~lJg`~6u8cSkLOpirKB@u~i0mOo2XaUn4B&Q$4MI6BG z@FQ|lo7aF^vOxQTt`u^U$GT8&R`7Y4Jq^AVEndl%Z!bW)ALKX2%{@OtV6bsu%_5Xf zy#S$@Ca`I9=#t=)o-LgG?VcGnf7NF^mT(l+a1eFc=XWXTXNjmcugK_Mi53@1p&m)R zI#o1kwDRjkgeCV??O=;5`YCH&RqIg7C`KrwOMh#Z6S62noFS)PPuelcuqpqn`OHA~ zM`5|-!!w_FHgue+8%08v)31bZz7aJ4DA_dc2%!t`;W^A$7;vfIM6-KRzM_CbA1pp% z@zy7~SNR9#PQRQc*OlRcQ{Buor|s-*fM`z2EV&)u{_8}h(ovBY8n4aG8m5E#77lRm zH;W%a5>7$4y-?(fy0Xg^o4eqLzEMP$WQJ~ya*J8wuS~Vl+$D1xx+bnUz+E<h=JuC$ zN0ySglgvMc6FSomF0g3JE;Tyng!V4I-b=(?7xTIuHHGTvYoBce6Z5`S)X?Fl*l$e1 zctHW?&xSXzJ!A)z6dTuk70!4qG^M^Mn<HgZGR4EC9hZr`9Yp$YUxF}|ipdda(YT$@ zsPLLCWa3al2}n*Le7IRiDB2Jok#0vR)^MsPG2g*B<t60@`9jn(l|)T0<|PI4ib!=A zeTZnJXVTr5cDp|^hYnbrtv>RkDG$7LO+T2>5GfECLULA3@W(AOw;phoy%03W6`OUG zrGG@iwTL|a4p9|1pP|IldbRD{_@17l`EzToet>Af9X@ay^qsw7{OLlg?`*~NBbC7( z`6={JsFoPTAzrk~rZZ^6njS4T_VZH{M_hXQi<V#b02QTXeYNY6(-DwI^l^RORmYdN zo>#S^p#d};Dd+8&-)%f{*HnpFF(JmQGtuu^rXa|+Q_k5ZAiLsbXW_5Akyptod@BsQ z-e$-wxG6_j7t;cKaqZRfg!cvCBU$=QNWo;m|9(}|_a<6kTPi}FSX&Ru*(T`%hz3Y2 zUBa}L8DxOMuKN-s!$bq(#om&d-9amFLG=Db{{Hlz&|6N>Odzhm!Iu}&-+6$g!r8&d zF{4zj$G^{8a8vL;SfLgo09e$cdU4Kdq&ZlFG$uu^^gcqc!_9>?L{Fs=3!Vd2MexKA z-2MbUJ>L_!VF?SkI<?azx$3BzRIjB<S2xFdv7O{`LzCvQlYv;mM2(wu^FDrfH(h)2 zF2R{&HY5^reKm<W?do~9i2JZWO7Ak#DRD|ZTb)`E7vp@;3zjKIOACPRYAJ`@HiXG( zu(GbEf@2-%<`@M?yhe=6X9_Lfp;@96H5seQwL?DYB{NGDcE;oqom1<bKi#Jyt|~w% zpd#hj0-(MW@Qy)d$pPId&-0M)D$zGr6C&*<>YN7}k3EXA_8t#U+HKiroV`U>)7Eim z7|hl=vQh6G+BCKqDvOsgM{J4d&@kDVTX*49h|fMWm2|*d621kP@Fir9b0r!;iEOhv zLI=Q)iv2}$f}V(>Pf<P1*gR6yDP!Ht<ziLV9%`>Tz^OU7`tBo}!;$WVDMO0Un~0se zv%<S1tzLRKeZ?<ECoY-RsWyw8&tb|*3xdxk2ZD5sY3^M^oZnRw1imQpi6qF^7@oSe z4z0rkv53(|WMM*&QNb_b6w1~xBIb#r^x_%4;B?VOfM2Z%MvZxyKNarA>Uxw_U8#Ly zXCLKvE*tdJx|kOe4Qyx^Bn+I!)_Cfu%>KB^-=H#T40y_Eo)8u*Jfexb?_d#USu$9u zYtrd#I}p9e$O~};0t2ljTD&MD1ztp1A7*tr-I9%`IFp4V$Py31Q&v{i<gFTxoVxoF z4VxnTFAu(v+k5!!TggNh6D`7`HP&YoRqkdS^odXE#Ga|>jvwy$I689tT3rui3D>Wy z@<dp(Ryj?yve(Jx&wN4Rc+{g0bDA;2SwaGBLPfFYAAhp&ni|kO1NNOo;b5M@d_ncu zvZ>fFoFqXjW8T2~q&F!aMuDA~qs5;Ub{bMvY1o;1A(ZA{dFfC!1GlmsGeuE&-iAH| zRNblcYdUo}ofRwfz1@2dM14EW<j{5NIATp{>GR>z7X8V3Z4dI(FpXw}a_$k_7K!r} zGa6IiGn=OFZ`Rjsltk8H4qC$x?oqWveG``fn!rAK_$zmX#LFEjd4HxRAdFG!t?owu zGywBekLYYn5;RHZP2`>@MIF|0dyLHhb(yD(-V%#bL>hY!USEx@GpmVr_F{^;G;dn1 z#S5n==E-@@Y!pa<H*m+6h+~0FBE!vT_n~qLlY7m8KH~?ayk_!B3Dz;^QT#9$HO*LW z6ZZ=@``zyyBZrL%ZKfLj-Nl-#cnO53?vX6ke;jR2GCG$&a6pPFu>`xlpEyk{`aT3~ zlZTH16UHFKI%Vm{<DQslR{hb7yk6=}lzkD-#!Q4?1elRF0!p);{DE0;aCWG`=d<67 z$BYjheeu-pU($qRe-KBwish`AR{zkhnz!hMRW)=CP5@;i4FVnJR&G><Gs#WW{2)W_ z@DRymZhNXm(o@G~>9>(>L_F|CiS333=(6U`^P9ORY+%=VCPlG!>_3SCJNAndG8=3R z?x>z-rYj`U5V;dGNFjrIIZb{Q`$mPBz0Rh5jCbFQE<o1i=h?t#EAQdSHQ%M%eJR9E z|L}&>0fWdf=it{iyWiF=T=$v_xs=jLqfBARU)HoX-*6ob3MO_J-fa2E{LIl@Y(3Z` z=zOSxUAn&58{#P!Pn+O0-D@VWoRG3~^+rzR*OeV|`(-fn_})~`&Ytn6Dh?WQA4pKJ zE48>}ix6@`oZ3M;UYHZ%mPw84cjRq~!u@jeB;D)-$4#gjx=xR7&~U&Evxz76^z>XO zl$C+rfUkvb$eFjtavd3N<1X!P*32e-f{yIRnKk%~D;=IPAvBe-)%2<yl)pUUSxlx2 zaoa)?K6m0y{>^_&6ii$|5gKt`)UX{t6smi~#m*(IQ|M+j^5eVP8mCLmc8ib8U2y=1 z{d8&ckMbgFsPUD}3&(eTtP-YDhwewiP7KeeoRzQQLsExt0cv`iq8Cd&;Uz}Xq%&f} zXI?D~1+W^c49Bh*+{Y4!uvyn6#C5F&w00i}zB`x;KShPtfu!W#3eXfw&iC$AXw|M- z;+5^q#oX71c=k|D>*SSFTM++dOg%sUinmd2x_RjvF@{Ub%N-N~Ox2PZ=AlVZ1&)c{ zg`a*nomxbNd^D<utXfm~C_(nZ+HLZJ^BZW=weQL|0kAytPm{cXj7u^FlS^Gytoq2D zkysbp2A)eH+hrn5O+wSTL;@!R2fI9ARbS{jiO=F$?kGFqM+BY}^o&4v4nr|w>DX%Z z@h&6ggvk5ZqBg}?6?zg2H26S>^H?nS67V=Ra71Em<|A+9+!yz70@zW2woWl1Y?yN* zt=?|JmHZc(31z=nd_PX>x4xK4kSvnv8>Jw^#EdV{sZyFrqDblbeQfRur*>u^%-^o8 z-U;s%kwvPmt5ZGCPIE@uc@rpnIr-cfk1UUYJ(v+n^Wd=+DX2n<KlwWWEs|jJ$0!5% z)X>Y>VcP(ij;(E`!E}6Rv3!QXgy0Mi!#&6;cHaI*Q;`0KQ-#@+zIVTI5&1M9)o<xA z0Pky50kLC^Z>fLj)6aQUSsg=C@G|pSV{`~5S>#df!d)2f@TdYz3&z-0^V6WSxI0-t zLwRYsf_6<C_k1|%?=I{$W{bUYqaU1sdZ}7m>Y<b?uHi=Pojnnb#4RGurk2bpj=7Z8 z2Aw-xzNTfdVuytbPdAK`kRO>|2B4G}%qr#HRR<i9R`X1$g?-uDuozQn<*9kbLtMDA zbP_dyo6feF<_`AQae0)&xzwSDjU2%h?H??{em{g?rIwW5RL>p=uIDYy=Rr4{ig}_z zr4;G#e07nm>*8x|-Zs-w@DU`6Rphf)ZD+pKRn%)Zu4$y=bwbZG1>_hUSAZp!+Qdd4 z^{ejU5uq<h!O-Imk#D98Io@S7Q`d#+!B6wM>>D(sPRQ5+l9{e9PsG$t0~8f}Cc_k~ zRX>rgw>?=6c0G;{N<hoq;{13)c|!4AIZ{9N{3m@3@SJj$uI1gRPNJm{Cl?D28CNn1 zFO9~>iUAB6(iO}MNm`_V5{RE$ZLNCB4p+1&g)YX{xL|2h0qs6Kl~!s1aTrUSufr!R zex(`xj&o2-;}*Q5O*m^E7$ZMNLS%6zezS0FaNl4lrMDMMCY&2qbHc%_g66xGUfDM4 zHU?C-Z{whS0$chyw7<oe(4_yHe4fr0$925u_3aTc_0KjVlW#~d5E;N%eK`K>=L;r@ z@F1Kpa$mxVZs+&(2_2!zP{$OEw+@?z@9}y1>jpu|7P``gIfWlWNtd|VTPEeD;wI|{ zlx_2N8?;GGE+SgLLaD)6(L;kFSXCw_pIGTsKG7Bnn=6f!z4RP1`Y9G=9iJQY=Mqmx zDldKGH#fXbj;$M+-DSkz8@9N1g@Hrqb)VdKZ3}1;?l(T_xtaUc<74)I`Bu#o0~EUb zbr7(2*JEC@F$eDH!qj3MRM{#CaWBISY|qkIzOE8PM6k*+{oJ@tr&yOk%kHek^bO#5 z*-71nH!Rw$-=A$yPEMYr|B2uXaLXkR3=FwFml!y<iK(Il==|8imcKLqe@R;DM49WD z(q1;{XPMjo$fku?I_Q5Fd|7*#e@`g#P=A5eyrh2t6%?50K~=T(jBK*l91YFJH$4s| z%={1ZzbRknXcTDw4)h1{Hmp8<3B~@`-X9bL`s?&RnNT5T8yjskbPNhi3bg;^jGg&R z*QPuWY9FS=zkIZR4Q&6zkxK6_B>Zb-qL2YS>j7a+Cn%y}`RDG*SFM7Xm}qE%05mkn z|D!VH{8i*HrcC!QBKk{@FJeG1_#gDkf1@Y=2Nf1Ada#8C5_VQqR91WDC+gv2_*Bf; inGXULMHtz@B($uai`YSgMK#?uL=C(>!9u<oGXDh${7nx4 delta 37900 zcmYIvV{~Or(C&$C+xEn^b)rco$;7suoY<P!6Wiv*ww;M>J9pmi>c>X!s;8@Z)!J)S zS3Mm+4jw-Yjs%p4fW!m<0I&e(Uxsx^>tM+Lp0enDln-$F0KiXD3J(CD(M?elZh zck2aw`z=oVEA5gdvo1$3;M>aEv8xmzsxagV=>5XJY0W#bmAeynQJ~w`)$RJ~>P#5L zD*JL+ufyBz`t|Pvb}jEc^mW|D(}mp&Ds#&E;^u2E*W(&Vr~LE#<KyK$=M3D?rrpup z6W{e}#bGa6(aZ<O$*Xt`NSOE~ahpU0qjYz=NoiV`|2T&HJUf<T%6uJ_6{c%k&%y25 zwM%P@Ji{nWIAsVGTcVFfjs7s-CT0C#Dy?OBa4NnjCM4r`YDV-!2To!GM6IF~2Tvt; zLr})wj?Td8-ZT!W)Lje0C>gV;!6A4>`GI<m+WuJ?(f~XoGUbF{AmIq#qyT8fdGJX% z^P~KyvUND`f@?!4;$c`UBI8&<DD8VQTY4`YFj00$^mp@)Tf^q2Mf&$hWB=^GUxsim z_pP&}_&=r|FAbaExjWt*?(*}<@zS&fH?oHo-0&9)4XmuX!bb;BAF(lCc)o&QVd)od zu%Tw48-LsNb(A<HgYd;&*j*|+SUL{O1UpcM<1#n7qW!X&8ESExr27q91Op_KnUqc1 zB800RLTd+*9eF#mZBy^A$M5XgHbY#szzvD``EzlXd%$%n04FMlBurk?&+F-fh#bmY zM89L7#wB?`ohZLA``=G+qf+<j6hu;KjKQn2(_A=EXFsgEK!~Z<)P_cav`GwLn$<RN z3q>Q+Vbee!@J5(XSUrTg-Rtv5!%uX(qo?-y=&wI991W=&&psH{8F$x)(4t4wIX2ID zwP<~+8i4``;R;Tnv<F<J0@rVE@#bvKDdufHYXU-P(eVJ4SOruHl`yR(TuH*yxCB5Q zN_y5%M4RU&=!gaS!ffTK&k<HsLTLf3qd$o^vWF{yS~JFCTcR?hfkAmJFDeOE_fJxI zlK>q7v+-zvd~$*@(+|1zI?DGXp%lW3m?RZgIY!VqO#f)^Dl%Kjye>|?e-W}Fy8`P0 zqfy6KUMzYn5*6l-95ztmXNHfs{0pj`@-3N_^b5W$$a;o*7So^%z1V?2igqn1BA8rv z6g;w2K5=?Ng1~S<;)FUw!j_C=ni$nQ+^0Dc!hx;UcM`5TaYs5WfWh@WL&6nJzG#%Y zF$rbok&-0eEh!FPypZwZM!qkZULW1n@-{t2e?sD9FZI7!l=}tkj$*us*fU<o^}&lf z9pyo}P4N*&gQSyE?9v_Ao*0*U*cBJ&hs0ApNjk-YW)HS-WsRJ~<)VjI2STsBHo;ND zHT)HpTEU!a(rEkGV#6mX7Z+;J*RB2#wV|UqLP%!pIjj2!gojBTb#bdUp*kO%zW6pI zbLloWe@Tjvxo;#mUrV`zou?6MHe+tPkLE%CpzlE-U`1%<q)*#sAD)BEw5ER811j?5 zHsVsI$8y&cB(mv_LE0zRi_xtoV%zanE%%9M;x7`No0YqrT<nqhzeDpoj>}gud^<e; z&IUEYP(4z2h0K-cmbs$tthd5pGQmNO(m^*CD@01*oTS^CnD5wfSm<>;kK9n*Tgafk z%NhPFcmDTf_sp7ca9A;eZ7322u%J(iz6eNG(ruGn-mLFx-uMbqsQdnn@I}GIIRVI> zY|@u;)%}m9!bFJu&i2oF{$no-DAb1C{GD04j@RVAp1-~Fj($H;{|TePH=Ox%io6?; z<pK@0u%-u)jh1y^`J9O0#E18{?&b!a(D!U_L+s<kY0muak#71tu3vt9HHVS$hV?r< zbrum8DVp^H2*Fl5k-|NJ70Qc>O8SFKiNPyZssmYrEmeUyKtw7Ggg8Hhymz~qY?Jh8 zcu06dX(HA=%1kYCAne|Fqw+W;{7!Yp4{F&W5weB0R5Mh1NO&>__##6YQ4tUsCa$*} zn!~$3;*B@-t}<4zi9gQ1gT5#oEc;=wL!e+6jdbYEgeQoyn#|?Fo5inC>w7-Nodsva zS9W)yg~BFSC{tapq?RnNXdSXaB<LQaAqPUb2ofI_-AaKBwUO?Qc#jmZr$0yj<;WE( zL;wK4a&t)5vkQ*J^W3KkEdz=GlioH!b+71fXnO;v*#R2ebHMO>r->GdjzN-pZ*xKb zwNeO-EpUUs=-7%0ndp4B`$mTPzmjkj&{WwH5(P4}K7lgM3DV9_<TEZnLE-k3svX)p zH!l6qQLhM@uM_1HQU!spdj8S4Tjux6tDVK}U966&&jF*vz>bx;Ymis_zTDbZyvw1i z+eo!)o?IHNYzeifVc|Sa-(eoR1RAaxid44c@8P9;{iZ0(Ze@srf^+6#KVV1XI8A04 z4UCv+*!{A%F&{Xtcw9~UpJkYMTG9}a*Ia0qbzc^LBgq^0Z8i9`UihE|62IWNp$jMH z=himwFyYSe_ci|gSkRRnU%{h`e=j%p-cu{FThMl=uoVckpZ?}9V>xsZrZ&{6zH4Mv z6z~EDi%yYf{DsIp&cCAKEnayfP~9D3HDxIh$-fhYmD7VT<U*;sv+(y0HXRhqF3?0} z8HOe~DwQi4DK*P?qYTFQEq+a+)PRH}C%4qC3n8olMuxby3k1wB<eZ3x;2H5^Yz?NO zokS{2c1Q{&yS_;f8H(h23S@>KU|Z0S)v^5+hG032Tb<ji8n^@gIe^;}AZgqS6aEbK z$+C~$>D|t%$ul`E595R)EnoSqiNu759ZSU|$uW1&J{T{30Pc*T78*-S1ursJrzf7N zg`6@Zf#LQ_7j$!6{Z~dH4>Nc>HUt$j<5fycK;(j!czUEjwx;KFzRUxxo9DO_7s-df zwNU38C*0&wSIa-DyZ}%yn<R$r*_`Cocuzf<N;+9`VD@lNRi6mv>WsD?*!q15{RHZp zp=A?590;mXkixDAAuUs93eNA)o?Cn7>d<F$it~+328kFlEP_*=ltK%w8nORnG>^JU zvC6GYE)Pg>QHL17tbC@~6Uf17`1wf$5d16Zs9uUKSIbdUC^&rQ7Ph#j6dSZ#s!9`V z>7GrwIn>a#Y>=x_ZXhhQx4LD@Iz#UYjO+(=%MwWq>2HYS-;Fxj0SU3_L(pBa2-2JO zhy3hVK{NCkz_E?(%y|nzsL3_v62wM1qNH!!@YwUK#nCcZ<YhpXXxrhJ-iL&k0d7-? zT};^`t5s#nKXp$8?9e)8*m5_7cvrmZD;=@0&8nP9y8_RBYh#s_ndsz>kp*H+z`)p? zAO@<6MD%>5TJ~_Q@&!1u<LX2c%FAuea(Db_P<{dOx7pC3af95&46snCM?QZF0s)Z> zqgfb2%j*V;6ey)DZS!5*lVfu$@!D8ZhIHm@8Q4#K7GFDw^gn5VUFa4Jk<pc`gDD-) z<y;$VG;~Dt%<l|Ik%)YRwKn@s5X-UVh%r$bnCMX)qU^bF8He<FM_B?`nY1k1zr~Oj zLAmFoQ&XXgOqsNHL5Tz@>PYzwbY5QA_g!&X?Gj28dfy!+pAH;8pRbW`SMPDLP^O59 zw+v~LgPmSPVfwu{-!w}F?7-7a9Qsd4?>kc89S$ium*-e}U7|}6ftb55T1S%ftvoKf z9I|4wq2qPJ8PT~}(HH@HU3)^9rgS`uARg}GFzVSlw0X0dL_RMyxFUh7MB2WN9O%t^ zyx$4&_FyLBBcaF&xW)lKJh+IK0Yq7J!=^qrN0DrQ0^6C{5hP#*G!B;vRr$bk;hX?@ z20$xe*qO&%=3nB+@z1`Pnzg6zLK8nqK^)|cL<+U2H1vs!DoliZ;=Pez!S;}%plp<t z9t*x+V$AF<)<=nmey34PF#{`pt{I3cjHWD?hcYXVVb(u=GsI#Nc{7xuu-UUcXM_F8 z0;#FY4G*mL2hv}ejBa^uGQzR)N?q1o7R0I5l5jL`E9qK-D*ZnY7j8{)>({+_p;>c? zF}cTqGLHjJgvThkCYqt*^?6A<pw^*e=}=K8c=C`EXPR*^!F-_AEuSV5V}H$1d<A3* ziKY=xi8DJx5M=|ye6MFUA|pmczvz@my+AQKMCD?c>JPhlj=hoqvgKk+Cj|)cYV!Pr z1nAg}o#@+da)f?4it@K`^*1Je_^eS}8fuDvS3s{$afSsEdK>UhLZY0YgCut!D5?5I z=x*o`&i<0j((~cVHGp^QCh*A=r6n!&)_l>I@$)}hr!H{%X~`1F+J)E2nDv*?ms!8p zCbXB<A#oVU*|^G=@nOW55JqAOKCrV97=htU_av5!7Sy+gM|EK?!Hp0}?xP!N?@6aF z^&ZTa`05GJZyyvDNPiD`1`*^@s^FUqk7m+Na+Gq{20oiJS79uQ?Ow|*Cd9lmovIaN zX$kx(hVjZrr?n~4j<?a9SnQ$Esf3<~5L7|J{P)kvJnA1>ZI^7VLI}RiwQI3qr7Wo| zldY<^(^3g)p39#>X2;95z$;4Dt2EEa-il1OHY&}C94Lu5FLE!7f`-g-Q<vcQN;iy0 z60pAW(RMX5FTqcSsq=7C!r?MxQyzsnT4v`4j2(qChL}_bO&D^IGaPLC^d7UUhHK1- z9ykZFo#FQ2Fcu>hxz_37Ccu{rE9+|0lo#E~=qA)K8jeZuDrE9v^nSM@^o|^eIWv*a zDcx|FX&5?O0`y95fv9l)uhX;t0PyZWxridyK&5hqDr3GEUb!<!Bz0oj5oFql3vvuw zo>oL??YzAtlDdI(_Bwnyui0l!OQLRtoUJ@*PRCjN*NR4FE}OGCzTGar<R!yE0u(hh zQ|oTIGp&!UnmIn731;Rz1W@wQ2YuC>hBi$j$p=V78^^WizWQ!F-XvZ72ikPaTzf;1 zev#|S-oo8K&Dq21fAH7M-8sHiOk-7TnC{Pt#>*N#?d5mFB3GtP?qhZB<=20&j+&k$ ztrtaqm=${;08zgkGI3T1D`%$O9M^g~^%S=9SQL9zw3yGPfp#!_Z(s**RlmD7l+@)x zu3<jEwEYsC_*LMr4$ml<&QIc}TivA8#Y6rH@M&eGf2#q>4D{`A7>fIx_{&p%H*YA- z$hsc5DbNT@)9{NzB=g7oF8cRP^nh4AWq?iicwYl79l}Ao&20XFZ3%vG2feHpJ>7Pa ztm3@HISAdwC11cHN{o8X=|Ai==>LM9_sp4Fq8?OD+lZpRQF2>O`a+|8^LR_N$e%dp zUyZOT6F~p^F?JzArGCki58qwiWv<?ht)bxavwz)Rj6dI|sJb+C%vXA#x&wcVr6meW z&9IHgl}OmOEju^ybnGHc$uIVQEdGCQ_cYsn>Vbp_?uHfplGhEPaOuYOH8LXV`9A61 zGi+)2|BK=JFRKpy12=Uft*>9@{O=lePZb-n-6PRmSAMSb^6vF&O-T%wCMAKNi=#>& zoh-LY-F&MDmVvGT6K)>~x--)5znAuFl-#sVp`k#$+2bZZB-4pOuJuv;8lR^oFxUyl zpdUEdp_Ial7bYz$m4BG}U-rB@GOOIz3sT>X%}aC9&o1%9RvPTWyENAwEJ>kosCTju zu8mw~)VSs!UQd3$3*L9yT}v5UQK1an(yDKqT%)WiqPC7>D~KJdj_9n=TeXf{=|Ax$ zG9drb@@4O}*Ew2U)T*rC(g~^3#|&|P0Y#J?ei;#mxwRb)yR$wwN)GJaR7@4bN<N~Z z6aLEHwK86dlm9evUkF90Hyh8^atc$E*mE=5X-+G$POc(V3yi{mrH%%+mJ0_s<hT84 zA=H`EcLh&g_<2K<CW7<?N67-*2${}wc&gX3HRpaB|Lp)xCExvE4#eZ!7WRXt17Rn1 zv53ahra?^7bb|u%7F>Rd4$9BCxweGDC}EFpI(@P;OEQfY!EXC8Nm30sebHf*HC;tO zcEtTFaV$^Tw0P-;-9NKAI_1C;hakz`yk}MQukI3DIs^Fg6`9?ZWXSF}Cb{@Sc_Qvh z!bO!CX@q({U=-e=Kg;I7dYiI#K|f@k{L2J4%Xo^XVsjsiH5Z_xt$uX0C;EB^E+mDx zKCmTseb7;_SdYU&JAA=mDEO``q8C%^Av@=&Kd|0>DntLx>0j8pJzO~Roi1BAeHA}i zxUlKS3e(SVuMIACika+B6yNB(u`zyi&o++@XO)Zh*<|Jpi_7eAf9~hM0da4sgytir zFph`YPr3wc6Otfes9EnGan@{x{^jKtnWg^u)z`0Jg(~<UEy(_64y$b3({QzQjqZ2Q z`uTXD%Y`KHF5OAmC5&F@Tz&(&O(N>kKksh?)rvqNH|8b+RXZYbiX`AM0EAtkti=i9 zGHE4U=7X|qC%V$;TJ*Wo1|dOW8$uQ)J7R^kRc2L4tY2IcZh?#2I+C5T3|G0ST?qGA zY#k&+&RwK9>^J5#lXd>Yua^0+L9t=~smRd9ineGznC_lgS&itLG#^6;I|LcIIC_@< zxx@JF!R~C&4S70;wovhKv=35&<HJ@$aW^JdA=f=QvE)*x*0SUeB*;vg{oH$prxQ#c z+>C>l-+#bwrXwlFC1Dr{$*k{!_C`!a(k>I*^Oi_hcO`urI-cgd-6b<scctRA&PLOD zVLQR=ra6j{=>31H56e)@x?FV_{@!?})SV&pteWL3&(U0Rf?P@3!Rv`L<PlvX0*y&{ zJCBU)2@Xl=e?iHV2$1v*n}j_)!iUT{Ww6W;Ez;=}OONDtGpc66>kSh!eJY1~PPr_@ zuDWFyzam6LqmSnNk#u^BnGED{fDVf>h1?2qkze^}I!hWQfTwTubohb0+2nR<kukvg zFlyB(2XAz3$~v6%NnO{<imtX(N;v8C!3Y^N=}%VsEKox=A6(0@W31MC)Pz|zUy7%F zHJ|K1lfe~s!70`FU{$)D;M#3gkQ{D{%G^7fiLxAuV2^0pl4(R?D8+OgJcLZrPvcO8 zT3cbtPq;?3ci?3|GX0rBL)0@JQA(*A5?<ZfEd2)=vf1GryAPV=*5G!+x70F8%<M#F z;sJ0oAmSpb=L^Y@qPM=QPoWFs_21LTBhEvVi5X)rH$v#~d;7Dd_w1ZVKhVEL*T=b* z$Y_W1$$boBo+r<oyqLqJr%HY%pvV=f{qd|qH=Ax4G%~)tZzWUlNR1$Chy*SLl)gA~ z@+-6rX;F~QRNs55mZFK(-Fp^zkaB2#!h2InfT+pJqP_{^?c8_^BsDGum_6q}SGcGG zl{Rfjr&W&KxF_l&;Ry&-GoNMg2@cB&+H*n`h=lV?40EoN`NK)(r&yKW;onMXdszl~ zuYEBv8D@ZNP9BYPxi>>}aC&(y?_`RI&XobK7?rB8s@UTSX_L%X_|?gX<#IRj>4=?@ zAbkdQf<}G#sk(6b4($5p6Y~@8w2EJ2;-@6@wXB+-W115rixsSz5XmeV!pfO_gxTsV zdGYNLzYE0;bNI@wl|~kBC^9e(;q=>rp4oii?gnZ$__bOn89R+h!bF#<j>2ufZ+I#F zV2xQFDLn&U=ihcVFL<QAWZCgOC3^Bs1lg6xlOu)wxV%2q@3i7ka;0%5v24|&(MZWY zHYYO#{upHnYWtUryL`0#zhvA+gai9{`K^rjvFUA`%LSp>n2{T$FYoBB;OyGx6b3`c zP-7m9za0$$Uy)`-w`86`&uM!gL~<1JeG&Q6UMq&=W3-txXc@~n!+sR>onyhFJz|x2 zgZIRBd*$+9$wl90-;+?*NHmfx6($8q#={9O^xKx+!)-_1q{)jIFG*XCn~?dmi3zT* zzQ3z4)BMM#itsYA30b}Z3{|6ZNAXiYJ=f?I_ab((Fk2ykXgc8w3ix<V!Y`wd#iC}( zLzFNVd6on6wCAIGxaw8V;mm`ieqxFnM;5V%@r{*!#Krb)J8igcrK&sook-S|#TaV; z80`r(&D*3q(mwl|;eaMrfpQOezL{f_0ms7){bB0n<;mvk?A_hn&NzIelOFO>9E$W^ z$6`h1;;Y3C&tKxIob4LW)U*lZ*XPB#&K{-Br?2Cqi>9#O2fQEXWYOvAU~%5(?exUZ z?YXfvrvKwf8qHTI<)%6S6bzlYCO6T4@Ns_lt7&A#*6i%Us%<MI3Ja5~mLMUFjff69 z{_Mmt26(pGpAcl*ZSOt~V__WhVI!6vJ;tAKckT9A+x|7>`1$tsstm>5F!??X&wu2z zInZg*Q`NlluWAi~O2tWE>6l!WAYh2N+;*~;apBSzJL=amZqMarYg%9Z_%4a8WfMx{ zkeupR3K7J(1=6vJ@&7Z?ykj#dy0GgLF!1KnvQhb|=vCz)LxlWmrQZl@G3Yf?I_+Sb z8LmV;8qx9j{=oZRi8A~`9LD8_Xu_KlrDeK;C~alUhL9Gtv%WeB4czeQ>f&Ti9U9H# z))kGg6&Yf@a@#qi>U{a56FOZnc!>ZbZv*&da8b*Dy=Hhtp0$laC|8Bw%IEsP>sa<| zyF+;4cMHAe$BpO}WcfGqUP@f4QtR8y$8t%Dw8byy?)T=-BcRnA;z#PvDfH`Hc8%Nm z+oI2p-*%}m2(cnedxYAFu>`~*=}|KNuBa%7^9P?njCnNVR$Uc;@xd^Ca1zWg0+RI_ zZXkQ-kF`piouBzs+C$NXxejOr$h;fAph%jRgMGD@*55sZwIznt@V1_@&Pb_9PB=at z>34bAK7oonNh$O_P<DBFLw0w#nN6`8TNk<LFCiF<V4go1yL%C3sw!DPcgtVZE$VkD z3k@C~x;44J$c;{lFR9${Drg>e!JLV7Jx1=LFi`mNTU%4^nQxfqbs5KpRH+BMN@#Zu z9}i?cok&-PuR{!_RvES_EkJBUG7THA7&FJr3|=d0Bo|d-^_by*2?5tEx8ZLz5kQqt zF32`qX|9L1v0X<?(jguP9BEoQ=Pw+~Yk@UHN_%2OweYzTF2_}3FChjPU*aMmDd?`Q zC6x3O`#T)-A?2f42rYEO!+9(tGdkrM6nZs$XbA2?tm({2j4sE8@1|gA|H0;-U2-&8 z@<bvT9E_2`a%tGgZwM3~_$Db)G9TH%ilU3Th*a3|=-fW&^Vpnc)(S(K3Yc&KW@2uX z1`n&fu;X0phN}>#G4YP^%Uo3YT+r-yVKkeErMtJ9C{tXK2^4O_*Eo0788MTEP^d1{ zOoLI|z2r-$dTn>brM7Wd9$DtkL4>yMPTRj<zp@(POE$x7v7q+)OK<P8C)F|{=f+XG zg`(}){1<)(P_t}<_t8bDTP_gXX$pu<-Q;-(%Nm=eKF<k?xo$E(v!tS;^?^iSif^|4 z*2ZtV`a6hX6vZ3_BKUR1Vl_L7Nw6xMct+qb`-?7BIhC)ev3LwW$pKY<2e6|-07R-_ z7TLRjKk~(}hSt6~^mPgAO7ByBmy&dv_&vY?0!4VALM|3Lc-hJLL;b*V-eRmyi?_z$ zFA+aGzb~FIhz6AS4%DPrVUV@1K@@wghm_gtIe6BI39-DgzJdJtlz*^nP<0`nQu;v= z5(|7{GIoLlj9>PO<4`=_pW?Os1lYUh^-e9PQi;-Dqq&h$B_ptipLPt5*_j)f*Q1ba zmd$WzuAQsJ%@>^|_%J>mX~Mx4xu_c9x!UTMUPuBmus>FeaFUb0L4yjXg@z|5Fr5+< zzb>J&zb6<7WIDMifSdUE122P5`=;QkEBn7wW%=|q<K-K3W@*X#q8)NC8L5rH4?N1F z5p>daEkF^ut&WAX5qlhp?X8*LT(dlTs;+Kvusstk|2jf|W7lbYw6na1{n0xT)axF0 zAfNrn&^|8^ECG^KeFIgi2C<~1zYVQ!pov4tT?_MO^6ST@6(HdJt(+j5>jV6Vm@qst zhJ#>f<=!SzE<qnJ&1q8`u`0YwF}w_1sJPe@99^Z_Pmu=Opc7&w-TMsy(!+~BR*)q` z61c2Ye<zb^^I|;4Olz3g$*VU0-IK2f@$)deG9tKA%|qP7j{`)a8oyAbmQ!3vvCMYx z86yLqE}^yuB|CmKgK7&I!j|1CH)h<jN9&g8R;j7qrPwt_Bc%L8Eb)W<{FnAfW>_Fi zJ)5IYx|(*{W({fDWL+Tmp`1ro39NT|zH?@nxyW~qzWSHf;FP~a&|R`u#`fU0p!V!> zyW=NtRkq1SQDji|`w5R><mfvtQtECCun;ANCtVB9z5w$WkJz!7-qa%qt7XG9T}uw( zT5Jx~9B|VH6)3(Y;WKz5tmBaO;{*>dclF1v-~L`OUSJ-Fk%>3YLPW|*dBcL$GuW%} zFP4_`=Er0r`MGyG!|3uS<3v&L6D&foT?!qC`+$3g*E8s7{zH(5Xkrzw<PFlpEnmgo z2xS>sc41T=(}Ms4T}?E=4pw$1Xl?%v_tc*z2eg{q1E*WO#KU(63NyIAcPSSo><}bZ zze<o$IaGy%e(j4v-_V0?U_gOJKI^p}l>7>QP#?C1Wv5&KpbxP@rJw%WZNf#$h?;%R zuG|=19s%ujC5}oeCm>A5;;tTuZ%SAQS;1NfTQLgLSp-oLs+Jhs-auHa+?xlm9pw`G z07APOAaS`q@Oy~hj>V8hZf7j(IfAMw<rBSr7);Pvftf>v=F<@c(8Q~a%_+iFf|9|_ zpf?Z>4iq?_Va7#!bP|AnyEiK9{Gwh_Y2#^*FhH}=p&vnfDO;$4n)ctoN8x?OA0I~t zW%4+<b80*y%ha2{EVF_=Rr?i$sE)TiD-)(DWzAt=is91GLxZ`2N2Wg<Sau&%hzji7 zP?zfKqNWx#;|Z4C-q@H&VT&#;Nmca*!R#0bMFQj>b!mLN#NURvyUI#+t^JMCaOwTx zYd~y9uvxW8$Tos5qsakvU!NANrwVUB+ku@WU!}cKSvXzeWmnlxjv9|8xzqo3OQf%5 zazJR+^x1^F?8Wa`yf0T3S9A}74jaP!t=F$$tbk4x=h6Jc$<q?|)xX|h(UR8W-Jz7x zlwT8vR;nq)dJ24SI`?{WnTHk6+<LPFAmJMM_6$fI^iT&Hu)dg^uXK+a!Nc9iKe+JD zvJQIF%*kmYgDhiMsjowg)ItK?C5jc?Hcutlb~3r!kxzqA)x#Olks>>iS4NOew4Ye> zb{tXTwRNPa`J~x2GHV6%*)HoYWO*njWl54~(cXJ@-Q4HJ8RD4p_B4giIMDWlpwMi) zzi$(qGcrj5CiL$&`S7@d2e_Y!J_b+sTDstr{=as+9&uN3p$*^4*FSscD)yxgT-$NB z8inD|VBXhJ3^y9lAPbRL0=*gwf?*LaW`eO4`u+eEy|r*fQDAM)TYsM%<t=z1t`p@$ zAmw%R6fTFFK{)uT>%79s_Xh+)gFK+TkxQYA_<#aagi^WahYuo-3+x&8mPjwjHZ%97 z<fVnf(N5(+$C~Z*5X9;BU;?G!%*WtNrC^=MV4d&51(qr(G@6Cp(o%bCcMXPd;Oih= zj$Vl{43bawP-Jo+qY6T`>96b_F&>N>2_QFQMP%O}{_YZ=*4@Enx0M$`kW4^nr=Ph2 ztcVeEH+N5efL0C$7EwEWLe=KXS&2pY5{IsKZj2gFFimyGMH$Kb;*X?OI7<|~xv1P- z59zS)`>+qj424)P82q#Z$TdTX)kwZ=)k91OwAUAFz`bqGV4GSOG4QZKpBcC!cH6|v z+Cek`=me}l3c0$IZ2m9~1mv&*%WU?qg3Nu*LD-=FNATEowXz7{2siJ&xY#^7+f6p# z_=9`{XZe?4L{FxA*|NJqERJ$FzB75FFDzjv4t;pxj>Z)a=cl%`u1)+1)FA0jfWgcG z*bx8QcMc<<UQDEi#mH7PHLi=tG3R=l6%RC5QY0m;Q=>!WsAx4hsJ2umEJ&9q>(~J` z+PjU9XK}}$bY!--j2fnm&!g&}3bNjr!^~sozfnOym}q=K5O)UQ{^@qr|0q=SgRRyb zDo87bK?IUY!{i{tP*Novp-s7J<>ESd@GKvYxs$H73+%^A=!As<F3S(o3J&o_*<>C7 zxGtU}v*O~QX?_Y6UM~P5pMXNpoi68g2zinHf9}n6c46^h?nD^SmneQu+ZND-V^TzR za-EsmG59vBlZWqGg%$aqqjmrJF{7R5|GyVufcJeDAeBoRAS*#1h##6lUTWzet57YC z_$xSa-^YZsaX`?r30Y@9ZtW3D;Ara_Bremw)hs<vmh(6-VddyWGl|6Yo<tgOTA%+@ z6d!>DI>l%<Z8A{ef~V}q1|@~~Cts-g@R?e`KIQ$o!_t_#xfGVc9#I;b#m2?)^W=^- zyDNk#3oMKv4|Wvvhs10PMOD45$If6ETdany@Z9#=%Mk}@u_`r%fK(x#hlLm>XcQoj zh23JRLXPoxemN3c^=`Nqlm63m0MqT+f5N8Kk{!dzfXu35ku_5f9A8Bl*+K%TNhu1& zaL$;<f#P%s6N$c^__3p3ULcovV8~>@8&$pllW|hDwo$G}HBwt{7TyKbg(P?8C(Zr# z^rki~vAcJk$40XFP3u|MR1qx}NGdE^xm0TJV&_baeOR(Y1G%r|p})n|xn^y@t(_z2 zVZQ&cE*(P*TBi+`psL@j%as1xL<eC6!Q`vq-u?hCnG3by$!OAuy*|kwOH7ia!cAsE zKTL~SPmehc-7J7%9<*|7+$3bIndPsEP&Zt~l{uBe@`UJUtkkx#M$u6-P@^z@9JB25 zxXWsP*$>!WYL~KSk+7m&2&j~B6m#eFV*}>Yh-%$~9R=nXexW$|A+2I)x;Rt%Uq&vA z#`~q$7H~(pQ?VylHt89IC7s7#2z3!U0bW15Vwfbb?P24a+P&DA2e7XYP&1|E#0IF( zSq2o<3aYn^4s&HKPOH*wK;YbUv84DjBkqR|7z{A8rF*519{9Uo%ECk-c$h6388Sqc zy#^&7RF%uLwNLZX-qWzX>c0fy6$;O2i9d{(<%N?Ez*wNdm6VlHcD}BqN57YBGW0F< z<jM;kclSqVlHp653uRgK0+bT&k_*_^C_8@oi<|cjy*CrUE8OAafo5i)a;R|fW>iA0 zWbSPtV{diS$w~sCtbB`*2f!qEMl5pu8&1ST@nia-KUIb|ma}(nW`B=4U;_u{Bi0(? z?+{1e#A-EIeWQ8GjbrP8>qyQ%sB}q#`VcP+Dtdu65}t5>>i3CSx*UI<Zf`4}9MbIR z?L_7U?HT!;V4dj(fzTvWD=ic$L)I3tV8kZD6Bis7^a$X`AF%hhPp?_|bVVz1yAE^Q zx|o@52M&_9XS6o{c7Esh6&G(=d4t-tOH#y1Za;Rg1&LSXL`y8M<}>(xEts9c*H9i- z0ch@D9M2H*99pV}my0`E9IK%)Q`U#Qfxkn-M4=|d96AEO3DR$HeJ=j|PQ<^BS{jIl zp&MB$MG+_xtBw}qXA``#QGh>?Uw501m^(yn5vXJ?$T6tN(?xd5Jy@bmrFetIk|uS2 z5>ho}R)W^Pw!^4SWrOe1f^6eu5nSuW6QwwUZ2qG@v6QGF<JlzI%NYrz<VN{etB9`X z*p5mzCp_i~2|8|0sAWYNC80V#HeP=6c5uXul-ao@lC^h2USPmA%L+~_hRCi7{6#{u z|1T*+B;RB$>}y0sIra7Cvzy2T(m>4PB_l=}H+`F@OGZSF35-{_Ns!EI3|ALX#Si7_ zDkny*1Smj$!((1xL)?|&!Ivk58#aodsG~M@SUICu0+o5?ADr}KhAEJ+Aq)O6d1dYW zwW?t1Ql3*6Ua%sxP``g;NFNoAf<bsv%T|EgL|ew_jUD7QG^#S-RN8=WV!_uRk^yHq zk<weRBpocx#c}gm94F0Xi+E$Q$Hw1QUnT(~Q0-L2<%*r<Atdmzin5MDGF34J1{SB! zv9z&83xfGhaR8YoPh7i3Bz@!>PUbrXoo=oAty;HY2NMRx$%K~ly+1n<9f~(_2v;F8 zIIE}h$d(>5DE~lD*R|@9j7WfLXVIAv>z=ZAabFEBj?RP6M!v72Elzt5Ku{+RbY}7& zWd2SP&G^%&IIVZ^zOj%%d1%H^yxSSM*jcn47Q|RwJWZu%-GGX0AG#K>%4#_m6n+xi zSk`-D)Nj^-u@<NoS0(zZFvbnHg)28&hXCk6#Z?Z)N?^4w)*RAHmW3o}Q_PmT+{08l zG{e=%mb)v_^bXDD2588GHiV)nzT%SUK!V^|M<(FO9JIrujzrs0;J|R7@Yj<J{U-In z3pzhOajy@*H(FbzKr$aL@pF&k5X{q($8(S*QaxR*Ri@C5$|XRzpgOU@H7#rkAfh$y zC|9Vg$jRQo*Yzh_f$ng~Q&4L#!pfE+MlrWG-%eLj2e38y0?_2Jlg)Z?+3P$Y*&Zqz zZ+>a;i)3Y~XbMFRl@gH$Mj2oup;0`EfM&J0B_|xjqT*us2&5_-KU4s&Lw;AcsvT^U zeR>MewGEd6V#aFc_uw|EsUA4;UKqmAu`zStJY32M+bh7B0BN<n)e@w}paqe2Q5*l} z_)LY1%NO+)7AqSaht=net3l12#hrf}xh)e+)U~~bJ#Ev6ND-cp!oioLIvmJQP_Kf7 z6Hh<^i%&#|K5)s&&mjnWEyV8}nPw)ZC&}$;eucoTok(Uq8tKG{Kipw~s~sFcju^&p z#$Ftz;?k4_5K+bJCb>ZT^3%g%Z%pE^N-Rasr_K!2w1{b8U5u%wrqN2}`cflA@_ph8 zPu~6a5|_C1nQh=AIw7R+C#sSc2!g_#2rBIGLQ7>AckK_66}mDj(cU=1jO*mE5ayAZ zFe_eP8re8?3ORQ|aX}<@n(VQY%N(ryT!gEpQWE_ZjLBMHd5y6|4|>QcxK3l0WOG3- zaxYoqH=Cwt++%q2O&i`NqI!cZJ6<UJ4F}%PmgB8VNUp2D41N63g3Vv9APT#ULCyV* z;<y@E`;Ad<I2)QaUy`4N$kuR#x7S5#M44a!!ea-3D-Se4ORS%)Q6`PV9UwudV(pkL z;5uW3AZkRfO&ZY;%@jVs8^kOzk(_dlU4k$=561L|vHtCl&h75JUD8M}U2Pd%NGW_c z)3~ZG0t^XeQ6R!DN0?g)l%2={^ZQ*JzGoeJ2$j11n=N>3EV{iBjXntY*O1KyGS;M0 zJAhqU>1>y@_;76go4Pi<IRx|p5tjjQn<~(9719Pd_fQ#fJ^`sGS4tXkz9=O@5obb* z3l}iaRkS~!KO$mlVv@_{^bKhIV|Wr_LZ?OiiZB%N>D+NY51Ts>6pB<yL<(8#=8vkr z1|}wA0pnqV;9-Q|VTJI#290VR$fz!ERmZe#k+8P1CX+D|NZ7_~4kk^mO3)R*G69Wh ztVqCvIB&Bpb8HF`P5@58<8(0NL|gd|72#>i6Ova;0lP@Wb#H+_C&t-2|BVV)aK%W5 z8N5F}4dx#+y3;@e6ijEzGk*DDH^u-ZF&DZ)PMSrzt^%2yp%!e_M<?Jqch)bNoy(1` z-AAv?;01vgCAOAnhPdi}YsPv(F5sifk_;Q{&5JApYbX<fvLiP<tU|K283oh+RVRoG z#aZh1QF=%%wcsFzxoJ2&r<t*I5Lyz;BWX}`#}*?(v=<Hwg5K;M`68s&+l8#Qs#*g* zko>kLA-QsI=s5WLUM@ATYCBw(Ic3G)(WXzWmy(>b5DB}j&d`Kp#c6$1k&T9P<Tz~h zpn>>{kM}Uc1?J{v<=XL%wWH=k^J6g+gIz;J?Q)d08iGh6mVhLKrX*;{a`Nhrl?8_~ zD(~4gnYt4Q1RE~Grb995(oW)i!K_;oI;7ks`!2EL_;F!rYY@$h>%PNtqkD{n1ib*C zXSz@}l=#i4!shQ8QvW}y<%t;oa@?i|V3Hcj1OkBK>4NBmKBTq@jlh)sY!el{BoCR0 zwnTErYsV#dGXlVlsE)g`_r349!H~5QI5rsavxnpZeJF6s6FOzRJnKJobi<6l9r!$< z6d@guMkSI{kw(cQ%ZXrXl4?<iGtkSM5^BQX@3qPSafc6bS+ow%b{2UWvMe)b{rink zn70+VuTunhYByn~K)>C{6vV<%SkB>8(0xAiAHIAcyKnWYioG6sWJ8Z@$tWh)^a$2> zB-wdDCs>P`KB#zB8W8DH!Yl()T@BDeoX+B<;%F>-CE#RD2dI$y!hISDiRnrPEFX?5 zp2ozI5k1ZK(%ad4TXKi+fM>GzzX1YrSDea>12FAqwG<z2z?PD@p4fX?+c*pi!XOHo z3sNt*71Hk_2tB_<45VKbkYT8KLcj3@ln!r!SYc_xdlM2}<U<$E)nO7L!4#?C`Te&_ zmr99<mll%gAu(aNj*(byTu*y+@z4pGPf0gcRxBpMx_&tkK8vJnT9AIhG93e^`m7$- zbO;kdUkxdy`UiQllzALPchr1JpUQ%T{vt|TIlB(RFQi5xcP13y0r4jxk61*kFiLPi zT2j2G`z57w#jl6VAw$3L48}S40u*~o)V<~;xRe$sqAU!iVe#nsv2kr?F81DLR7CBs zO`Cr#agAR%X?kl{CF?NKM<*e<3Q&S0Sg}Tgqe|xg^<Q+@zLyXH$IybQpz;l>G<_^4 z?OFfj4)hDc3lLe6coC(UbsW-qfQ|bC;fxfx^H=X-&UjEj7&;R7b!jrJA#y3|b<Ifz z?<`ev8u}|@e1FRczs9u+QcGH#SA@it$&B%sHJLXA8}sCkh%l4W6WaDFY=E#67vw*E zT}Ujj6W???F3S`(7|$ySOL~c_(T2f<;6IPX(Z`?y8W0Xe(YgF(%>k$LfYHDNO+vU5 z2KsP}RNW5fl5Slg-T0k8zGH@EGM8$g{?tAV%<}Bef)W)`p1QCJ?8(Om&$RUfUz3DM z3R1|e$-xGPfiq@J#{rKmeyNlZSwG9Qkh3TQTY`47vA2a;$g;g44RxChh$508Y+Vrz z?w>+7vXCc=Pr$?hiJ;E(Ve|8YNNaiE6Od}w5HV&R`5ZD4dLUg0DX^%P_;M*MD}r#> zRAKJPTcXH-i1*z}3b{-i)86U6^=r7aj>uONgLM=*kXz|d+_Ac-9_GyWC9yU@$SI#j zUBw`Bhut8Wk%T7nQ;ITm29Z4v+?|`R<_+<n{6GjYSHkF2_Sz~Ra+q9DvRAJ>K2#y+ zAs0ER7GnraOGuWM0q!Ttg8OPLfUey2rXCWEX|hSj3h+HAR2@YWF=I?DBlu6S`#515 zz}gbhzjqQ54*Zq`2l@QKT>&gX^~C2*%^RR?tq5Oj{MPU55M=_UlY#3H)6C~faZh0} zPDaYZ7$)N1RB~w+*aHr-;TZw#lCh=-LUPUJ-QXwrH!lZlz*(j%^GcIRAbT@7WQFg4 zm->`Y=Qj=nQ0vL~$;9Mq$H+Pu;(`_35{4v9P*jh4Z?s9|+8GT!@8evi=6cFL>R#Rd zyvx=`xR;Q}4Yj#y_Uhtl^;E^_F;i+jS1HQL0^mUiS9^q-&2WM&Oe+Z~RTc4Yz6xu5 zTC}xPedE-$dallD#gvkvnAQz@<>`#x&BCDOYcI7(Zw_K1zd>Khd_$34DWke`3lzj} zjZU|A%YY8rpwt7_?oqRMUCdIZoMUEOj@z~Un7&L_OQ5W{O*RfJ!StJpqhA@5bPEP# zt>_lV=c!rysFs6h#WW~?la-TuFGwf*X7p(HoS#A3mCB*ACa2Zz(LC@;!AD$1Ci;<4 zgh<T?^<c+@MC_^j?LUL-G#kpi`u;bCv(>M#QObpsDmMVw0Lh4J--{R&v|#DuYLVyt z6(RN{6_Ke$ojOko?q#o1DGYiq|HCb5807bq5!d&qC;kP3g~{m=H4(^gM91^ueej`e zt4G5_9;uWFg}WgArhD&>fyXCfDxp{9I^1SS$5Hu&EGC`^&Yh>DB-X+hBIJgSkI&c& z=X*|hUsp6kqa-Ho49lIToE0oohxxi7YhhutLw#Q+VX=wlYejc!P4g>{DlBuPhDgHC zmhP5-=GR|PYvlWo=stG}dABS2OI5{){XdyCol`#(B7}qVJTxM+Jcs`}6gASY!Gs`w zVu<l;9o)4&U8|1Fl0)n$AZR{(UCI=xr53U0r{X3PD+Dy7v;am1)3R4K^+@p`FNbyO zpc%l9&YhZ%Jz<@f&$>0l{NJ!e$NwLE1|aSR3Ox(80A!)Qxiv4YCv$HEasb@3|1ulm z$G2dvGXbr6q^<mW4I9<!GCnOjpsbOOERa}v!m}fn8wc&$2dx2@7W@9adNzP&_S<5e zM(FKoqw##spQ+IMOoMJwiy2dO_y!}f%|izYh=hP8RjU?}suRLeFlg^GHI<Cdi75$? zr4-yvv2z+U?s<{Ypv9}Ixe*6@S#}VI#jzoaE4|o!>Kc=nHJ`M_KPy)2fT|PhT(H&( zj8rI#_N@snA#1Z;_QapCA|3Ed2-Ej>h&>{GQPw1Goa|s3zc!|B@McoeTOTvBBWD^9 z>`ciyFxtS@96P~+qBa0_+*?%&;btJp7Uy8-`|SPyjPGSf%tr6KA<t^xqAD&=fo(W- zva9?zk*KyjaiQgScI5p1Fh52K-slBkanrtGM)O9QjH3RfLZR8eX>ykmV64`hN{l#t z+t};=gXR5$mH!7@x<8nbu$FS-hp}eztSx48r`MZLU8mEt<^>H~`}bNBHN-4yE8XCW zbPO@s-Qcqtw8Rpb?{XFp(faelBjf5q6ZSAcO+(t41@cSV4BMd*`0K#uM666ex+AZ~ zZi;aMS}+AL>eJya2^)wy25tUD*n`@P9b<#ng5M2c4X~kltuSLo-RivHc8=Wy)>^c! zq_SS;ya0`B0#f?<qc|qQ*}n;Mjn0JM4S5w1)HTz5v*t*x$Ik9}<d1|qB3DIF3|@Pn zW!}fhUYEVsWbD~lY`)XnyCzGm#lD&ZXf&>b#;rH5`mF%v&@n4CI$-s0rD0Yge`W2~ zffoZz>JSdgV5uQi?TG{<I>_$SKz4k)Bc6W(B?t!)d)sfBb$!1)sk5$%<EG#8t*ekv z#d#-BF09w@&rS;t9OqV^1rdKkmT8|Qr~U74-j-MMp`VPcah@73QvW_aDR+kavA++N za!1-sKI=|?#SvBbgh=fN@P638Tiz&(!iaEu2Bl_O$NXK<XPXM9eeEZ@XWog)^(g(? z5URol?YI$ay@v`f4U%ZaeYJf4JvV-CBYWS#^s|!`wm*6Ty}La@cE4u$VQy`0OGit+ z8%MXI4TX<RBeK2Vp<>v?>>Y<Cetp_Lp_Autj)SN7cl)!vBaq&(0Q&S-H@}+my4&)) zBS5|OyZYCe&p7YYpYZS0d2>Gfr5t}hpX^CNWA^KV(SGybfLn&D@Ph9HDhNFJ`q@&# z{^$3Ju=)tsWaD>XgZ5FYcA-9r-2p_m+_^L$h{u=RmLnQe08fFI(sn9bJI3=x*dXC& zx0gEd6OVssJq!-E@GY<4qjWZ17liwLT=(J%$qBzT&YnC=Vd`8=rmDk=f{v0a0}L`K z)3VS4u>Q?{D5A#r`iPf0?^>WD!w%k!klT|rv@5nI7{9-{gCg;1SXEaaL6;yA`&F9* zF`%Ynr;s}wPqT#x4L9t&(UN#bZS()R9>pbT*O9Tnbx<@Y8VTrq*oKXgXwz;0Dy(~T zc?Q`1eaColup#Uuh`|j+FoYmK<KfV0s2>WL@MdhtGLu$>s52W8DnhnHy;efoI%XHs z7k=CTyN~BVPo$D`z{9!dy05oZ!;OLiVhzkK&w#pizoFtBXGX>fO+>UZPXtLfq1Tv! zynmFwEk{t4zQunxV~!yH!+t|(cOy7=D{vU)DL?<C)c!o0VCa?>kb6nZ;&iYGL&f#` z-3kJ@Px(3{rj4+WKyT3e*-xG<K}O!;^{e>QXh7mWmG7=SI&gmX`I!SLQ<f&d^Ze zK}Y$PJF@c_3?jx|MR%K@S8>~hGN^cZj!5}{55YeSV_zB-zd*~R6s~RnUx>HOsL@rh ze;m@&bN^<R4#Imc(9S+axF7J&J5}?Z+hBbeVG8+AHO}~Y5dJD8`N-xev+m^|k+XsW zW}giE{*o}f5$C5`?U33i?P?TqC5P_oKZ5wkmLy04B@5h5TdZjw{cg;ULHO`cjmG4C zp^&A7vZMZ907kQ639Rk=ERDJ{F|5oL!f<U{buxSiPYTN!5E!?G8K8D{qo6f>(|3g3 zsV|x}+35jS=u!hHW`I)PcV~!QfBDE{z1x>RukOe6U`2Ek(YaCppC&CYU}9eooFno0 z2%Ez<jdz>+_CHkH-Z57~W>#5}4q4|KCZi}HPSI0~A=NUU5sC65J|+!%SgB+9MwYU7 z<&^~v&00{tr28Fsx>(6hqH&xAHMLyCxgn3}HL?kzGqm~?9ugNRTE}xUsO{H?-~Odo zv?0xt)7391TAc86Q9D?6TO?o2kUTZ=19&Ad`fF3OpqL+T4o%V!=8$8_O>dTgOk3Wy z$*}GHfSimk*q^xnh<F5dWI{~i4<cLh*Cl%q7Um$aXk`3?b*$CCOOVsgl^p@|xG__` zc~8SI*kq}yLdnynr#c-J>3J7Mn&`aT9!8sfux3kSe%LN$K?@B%6v3~8<*VNKg9)$` zVqSzx^g<cge+Nu<=A9b6UeTG{MTuIWs?9U)8{RZL_h?|9FNzVM^@^Bto{FNJNA6tz zRZ2ipjJPf#8Lg}TofFFMua8)I8HkSQb6157ss^HE`qJ&TUqM+ug&vA_<+`8`YZvxl zmG9t{{~TNCRXu?e&C->4AkOE25!LkJ!7>}yt3<ars%+S2U<@`Wn-MX~R9%V(Z|bo& z!KXt`)<%_2w({I+_}7$>8<eBdps9y*5duBTw$Nj&(;MH=<<no7I^VgfMV6Sd%NFN+ zmLk#Nx?i9QB-0;#I(|ySiNY-+Wib|~QcR<GeFf$dxNrWGotkyLKGkz<jw}_O)fZ!l zs~5TDJPB7-%V&JYR4y7@eQRuIw$xVnr&zpTN#)85^wS<QlDt+g%S5XDZE6D#y4}2S zm2J=SC|{U#E^7}6qoJ}+hKZX>s{4*6ph0iWuEUtsa2c<g8%m)u<tjV&Pf>m9ZY$Y& z^M)GedWgRd<iILF#H#^M!rm1L(BgWx_P(f4-4%{36+4*Vx?6ph_e9H87xb-~qdRDZ zpH(l<@TOfxpF(DIPM_EuIX}dBLd#m}P@<id=18VLoE{!n|6P=*CdvtN=mp9LupldU z^m7r`bDy41gE2iHJgA9b|E&De(?>SA3cq#I9~t9y^2yET5JSgPE%D8AGj_4_bb8V3 zfUt7Z#qq*r;F#?io@V&GElFG|f_W-CcKLr|O?UAAGavTvL1QwwW@)G*UP;wACZ2Q% z2HP@Kl(%m%+&C&-VIbN`(HW-b^Ocv*=sgVy)jZ#gR+8#I#?fV2I+~mCLb2>52V{~n z%8tbl)j|OCUWc74T?YIjIF#Z#O&B0amytmgF&Jn{8=7ARWJ3*0F2()9yu?DYDKo2H zhBxeDe3KKhJT&+gSju-@vQk~xtQsLGzk3+%P=Q8|v)>|O2<qnwe-vGD^@Qtg{rHn( z+?}ysI3XSbRXNSQQ_hP*l8b5E7LZwVUOk3Q|KsK?9pW30ejbkg#IIfimT}ML1J5wA z<T1=F?6q%HioJ)JV0%hGtUWk?d6`lW8k9M`$Pm1VtZl%|r9hU={xlHRglq`Fq9<t0 z4^>N|_${fda}Ppw;HNbFjhxS|mR=Bz6UuAO>@_dz@^VmPaapsi_d1XM389I5q*aQx z^^a)h43u$^%pvyswFo1YdRa)k`xDy>k63=@+WeJ&&hs~<%a4?%?=k=Adz3_A{rh_g zTr}8%el9-fznyl%cvY$leEO;|{xyIDjGt>Spms9O;<!K<Gl6e_;TVN8Xdo+HWoey+ z#m2Qrlr;Y=A21lM&B}O3D%<5}CvxJS1AwmkgJ&VXq~1W#o}ZA;*N!1)P}@zd?@^tF zR7$B4|Nmm^ETiIRf^dzyySux)ySux4@DK<xxVu9jxCVE3cMriKXmEG9<jb{l&;7Cc zbk}tEOwaDlIs0~1^~>RKz0T%$p{JUXc><a-t{k4yU?hkyLvCT)+bN6?v*&l=5}Y1> z)KvE4>ToHL%I+dVpd7$7dkzFh=xpqnzq1|+!~P1}DQcg0QipI3)UMG^$j`GY<=%|Q zoS$377_IJ*B&Qnx)45GdC^jRmwsZWKs1wW=iq6rIurt=z?cw^Mw8dAuS63du$Fo^a zTm<0%#=N=xx$jF%{{k(7R4=#_!-cG#e@&eI>#Sw<>FqRtq~nx!xp?637k~6j=9%b& z(PPF0C)|1!I7DI4BN;*fLCDEtYfS<<?34`FQ0wGRK+vTcD2;tngtMH+Cv#EfO@#EI z1P!@?KP?WrNvkTMT~oxfoF+%H`&Nko?w15oqRwDjavnDTizzKjbscT+V`{2?Vwmb0 z;ap;Mntcx7qOQc)P@&Gl_ys}Q4Lh5J(N9yhVBi^!T6htmzjVc`bSTcG47VvixA`-- z&kj25r%D*~7bhxhYiOrn&VyVlwqz8a4w+CkyYxXb4F{^o%0{VdX9OfLq`=~>Wc<k_ zi6Ev04>;!;!swT2(|l=dZw?%B>eFqCJ(VkeP-{CthpjuJ943N^$IVHNJYm0ru!hQN z%P~XX!?DyI(f}_K1<$h=8h<M{u4pA%2PAvyOmlZ--h>S=xD*u8GDN2lFA#oP;$`+T zj32;<6MC@0l2STqldv`elUI7^3ib+(lS3!C!{|IMe6E;l`PGR70h}fPV`W^Cq)lGo z2tgBAd%nqA=UcFH&L8z_Mr#+#weN;jTv^P`92d?HKIHzsRI1R%Di1?)P5WsrtcYZr z9FYfBwvK-uG{i+|HhEW^9TW=3PfG#8GlgPQN*G?@i=CWJ9jp9R6fy0#vwf;*`q|Iq znwbSo@JM|w$}L_E9%`>WeNIY-N<w9^r0fg;4eg7VY|G6_0<JN1lOS#B<|5h-6?FlA z+qLR7Yz^*j@MVfcUq(OdPUUqZfqv9VugmU5cwzzDYj@#iCSGgL59cb~wn5GjH35{1 z3pF+3xms{yajtb%f;Pez89}&RZ1&&&?JkrK9nI0O*VFE~qgd>q^zyaVS;#Z~(<V7U z1_&iFW$D?t$3v?InU5VOxjtG~$q<CS;0<C(2rcjv7MdIx`40|VdaNoT%W<v=(vqbI zaUx@VxriMPVGShm(~1f4jFOY*=ui1YvX~j2kI-k^=m5%IOd1AWgCibTC!?4VqvTO& zQ!#naAj-^-DDD;&muxH6N+W8_Cr%AuErEv?mx+$@s;Wfvr?RSAOy+?;$S;(-JaBJ$ z^MG*F*&g_3kn7q=VQqvf%!+;4apjxJ)Zni$qRhi=>T{sE-0uh=%ZlLOFly$G7ten8 zXglUrtSLvi%N0he{xI}4to|k`)R`zBF`0y2H(YrMHl&QWL#!|utMacXv2z$e@y9yP zGA^RPN#fA*ye+OGkxt&=GHrKWE9#bE%MNEGGkk}nHdv#B<$eB}rW(VEJSEmryQ~hA zxz_R*If4oS{m3BSl7ZeQ#8Hbp<1*GB6tjd}49Hidh#;=!1XGgD((PK!h-7euLW)UM zuHx1$y_p*M;%v!Xuo>n>wuc1(cPt_PoWpqr|JIdYm!C7XG%pAP_E`;FK7gYkEdVMO z%=R!F;6%!)!cj9l{9;FN($$Iq{i+nxuY6AQ`w^*(bK<$orDk>9Rp`dKM!t&;<A$CS zTS#Bcl1JdK2nx$}STPp7d#*<W%Z?Z7MJ|T4EtF=kw`-v%kr}o%pd<hwHAZvQRE!81 zL}%kY)Rse-H6(6DUo=$A*JpEOs1Pw4m3J4Eq(XZBn9%x3d|vLy95E{FPo{#<q}}sG zhC-XTu2Q)w%C%iZ>=rF|FX1UUqWCN?Zs;kYutLUIW~vu(oWIc-ui2hDfd%>bk$OQ5 zBPVRmCn3r-!4JD$WB})g%<Y`+b?YQN!-J<MBzCE7x*LQGQ=|yR!#k%ePhi3Ye#C%T zt>f!n%OVw|H8kf5Ocqq%G!4pIGDw@h@JAGXWy4D&c5o>h&;4BqN_xNWWdLf9kR^_< z+&u9P?d~s7;{+r-5*Edzt^H6cxj-TJO+_#kR!M%b+ms5rM1YA!Q&YT>jz;kzr>NY= zp6oVC7IRNumAx|4Jz?*ip|bQq51v|IjUQE|D^<^y(eeO~0vpIJ-ypUWSbTm+p*Wj% zZto{%&}u>IP5J{y>B{Aro9v~FB3t;nE8$vh$;+yP-GY_wC=zhdW8W?R`r`c*w=&qU zSwi$Eqqjk!1&kXT0>LFEB#&+$GXfG{`iNcC0_P4Yr;vK`(CG80hx%suA2w7=5bxEt zFKMqRUy|C)3Kit?w@wppUAuyIWvRZr-Y~uDpU2nR(0Xs;HkN=3<i!brUWW_)fGjAB zt5)&-b^3jSkWf%qn0RPW=l=~a0RF}o%q_hAjW1w#c=;Dz;PnjG1yEl3{0%Bdi~0yE z_%x;L6FDI)2Ct+^Y_h`duZGyfQyi^wH6HYk7H9s1Hc_L}mvC$8W0oyrWr>s54|Q-& zd<n`d(;s(PAy8E~6Bz6Ihcv6EKY(4!PV$EzX?4z&AWhwbmJEfJ`UdXz;nN?;+l@bi z#=r&nAVAQA{lfNP%zG9l6l}kP8;MIY6wA1S22J<hr3mBQy$#wSQ(bgqGqG&I_fpUY zGl_Qp#BxmvSs_@!_b^f9uSq3bt|eTKAY3jZT(;-0;r1|bs_aQRm&b%()|Ks_lEd@R znyX1dR=`SvQ$R{$SHOTz%}!}JsVKCxresV~R=`g(P=HTzR3HY>`RREZ>l4-gH%y<o z>*_lX4Mi@CmOOY?dNTS|k0!QDJ@=j6vC{izZB3A*4+3}zvSB_9R3C;i6NF(8*N+NY zdcW=p{OUx;e0>9UQ#N~vwJo!QxV6&soY8XXR65b>e8ztb7C#!?FgqCkJ<<)-WM}gV z%D?yBdF<aXyuz=p<IbC}^1JbTn7BOunRfnlNcyis&8P3If=$!xVSmRc`Dg0<{@)ND z-v8R4|7$;Ntq}L=abo-Ls5k#itN+@^<owsZ$#3WeSl*Z>n40UZS^u!{zXvwrVjX-5 zSbsEBDXH(hXkaN--;VA*G+=?MAM=O!%!eLbrfVq@$d?U0v{1oD^0%SM7eDOf>`h3I zfBpVeC%NYz2^D$Vc-n8NGhO#@PL^_V9kHt=>Zh`l+dU~WzkHZ>Qf&4=rV6P4J-rdb zHRJ3F`@#H_QH@a%HiUWw37gDkydaLrvW5xK*hx{P2~nj<QKN4`9jwq^_6T6d=|?Jk z5^PYc#J=f5LmCs(#7hk3bo&L!WwQSPR#u%ZK8oY-@evU`^}pc%2d;l${RajhQ!St{ zpEdmPP@HZyJ@Nx!|FAOiU#)H?8EzLgM)czhbwU4~$Tjdkum${wE8suW`4wxBY+ECk z2|dN{vlsux+CR+w!w7p37_Wdi%Jpp6L^dnt2VUi8J}LVGN$XLhBJ;^&;}~1Z7+V7v zTMHOljkG=Z>i*54AT`>o_&m#HIAH0q94BfBw11)U4@&=_FhSGPHGtCNUTCUpCe!-? z;eTNM2jYJ~Hj@JNAPEI2CP!>X7Ki%)|33ijNdKxx>~J-kED$V&w#)W7YJXw<59a@1 z{15u+s{Yv_r2a=T{Z)POfggzd2giR<>Wc<DAx8jJkjA@13B^E=&b%?ZnC@q7$P1g= zY}2m3d_d)^&Isz&ci=L)Sjj{L{y)EClXFvckQ(q>u=;wm<rp2+zsS&0vHuW~1gkE$ zTeeS3N0kXU^_<O`!~UfeQMh@TY`{765DISShm?-%WEgNHQ2hb2u^-Tp-v1s_iJMz# z&G^+Mt!bBJT2Km^1Xn;T{VPxDhuj0Sf0`>JJqr>gf{f@^<+%0_)#%yyCHkU3j~z?G z7s(pIMo6lmz)nl<`vk|g$YLt1?{}Dx=~Yelh-ADi?iCSBx*gq-(!BpFqQ(ZFRosQz zpen<p7PWjGr2hFQiY9_C%RouZ+dN}HRr~{9!4J-vU+rrXXOE==OE7Lqc8#$>6G@(K zD&+;W$vd4;0E|JTaeVaHyMw&R`Q6)R22k*C#zLg%<OsRB_sp2oC;@=37?&P_NX(t_ z1e){n<v6v44;XCjmSFv_{nZn{Mw!mMNO`CjhN4f;p86Uyj0O^6U9C*;MbQ!%oYu4{ zm^3bs0`0W^f=(&{U>3-<IGq>3_cdNAxPOXC6I73p)pGYk9W{wuyx(sM!DFy4;K=fH zNIw4U(Qk;TCXSfz=lACu&gj>1Ca=#02(#J6zLxb}4YJcjs$q`hVq^XcAcwE)u$WI2 zZB2~v(z16>aKD<BD;P+%v8Rg;!E$8EM6ff`bmSH#Y0FEc(2MBE0DfV|uEdl4XN<h* z4Gk0AlUu@jl?cV;3Z*mR10Z>ub0mpJ(}v<%FTUSl+lfYsb-|(D@D(2kY3);R$GLil zSe-I4FAYU|xj-7H{qnUOW~szoym~7it?kmxaDMsB$am{WH`)5oE+5ShF}Z9`G;T0& z(?hfKGpP}DV@w(e;L0eyKY5gHx0NDfhI^DwC4Bdi9Xh0;F81?>TEb<S4&$X#0v03l z-IZROe45fWxQkLdK#C@>F2BAaMu6F>J)=Eh=I8lcHS#FEHxpDMqSitEW13nZ`_shc z8z-4BdyPT%8uQOHtewY)8Dq?+kq$toitEj1Ej16(r=bQ8_++u+%AkoqZ8?d3XJi41 zm(Z!8TctFX!MuArPIptg`FLe8<wMHsrIi=cIhA&B>ge|SOz`kW;q%YX-COnR3^2sf zd0mSVx8%dh#n&ieRoCdQIyg@cbrA381~AAGBVEQ`FvqMZ^=0y=XKdp;JxAC%Cj+Fp z!|V3vG{dV2zzH{>AJND17Q7BRQa*m;(~36NvHZ6iFKKIT%dD*J=gH?Bs0{7=$_Wx> z)NgDU0m`c9#;6(4)rJ@eu`sz67SFpxShLcN_to&Dzt=78z{eEEW}tjYeBM{$1tiXL zV;n;5)RjUbyF82n_-ZaqeYA(q_~3)17pw>VkTf3a06}@*`UZ}r{+ANsYTXKZyp5F^ zvvq(F5yeGuSRmGcfq8$C@h8yw#az?I>V>icuAD^Xt_n_U&=Av|9@9RTCzlQ@tMAOv zRc=Vt-7|oP@#`g3xsE$r`<Gc-T<KZ(tN_QmE*V^m;qRKF5y{2j4XlUznsB!wuI$fC z066JRRoJe9N-`z3oM8mI2?F0(_9bW}qGsM&iYLfWZu^=8bV8H&dQYni`o{>T-_cxt ziqD;4dKHS5(bswp<rb_71mG|}=T7Fcy@<8`XKG(-RjqNf6;EKY!WjLon4?N7kNu0r zQ(X!}A>qUj^Eo0A^FA^1Q!bh`3SgM++7LJa$D_U`ON-TsW{gsM$n^C)`^+Q?25r#J za{a41nI&!DPjAWbK*ya@6=aIX1}8xjva_!;Qs78N{sCwDCu$yj88$t=kSsI4<BG4* zzdhxfd!3^;8L5|0&_oNlY77i}2#T^D?{d(iG)xH*!~eT|k%<KXGT`n&rer0<T=RV0 zhBAf^@vQ#<jNB!J7zav(V;d`q<fb$S399erRF4of7QHz!Z*4T)T`GFPkVy_Y`b|w( zmW~!O<-tJu!<~`8<&V3QH@WEu*49%)ka4Y3{WCQJkcTb>rD!_;;>?A6v&bdTNb~8) zTnU1L{0TghEc7UAe(-gmdAX><R}5n!Y@LCcYQ%7Kta&&KXAwl6qgD6K$khxtt-Cu* zr!bN&f6I4wfTDa^8N;CiKlVU8xniZBJbWbi6to~XX%WhVncr+QH?18e(vr*_YWZNm zjDJ~LiJ>5}b8jG>h$E^jG9NTkd-d8OS7PitJd%+KGjHw5#W^*AXEMJ$1gY^G6bEzo z5-Xp?W)&ubC1kC+{?wJo&ufFRnLm%90wN(PeO|ZPwGh+Hkgk$!N%3j`-Ip@BRd&MG z7B`@7I2AJ9iz|DVHg!p`MbT_ZMt?~S%kuhYc?x5YP`0?G1vNdYSt(y>9AA~j+tWId z?mLBcV9!F(nfew`=fkmH3s1c~t70#8+)77s@w^}+%lh>WBFRpK+d88F<lfy9&1Gd* zepF+OjZ5RJ4q*e_Mv}r6u1N1a_Y+3<v-@<t-}+Mw!KL-t>H@|7%^^EjEZhjgM&t~~ zsJg)N^PNXDqqJ>NSjxoeQ7Y4(O>QuPBAS~=$EfHb5oa#o9YQ)v-5h}C$V|a%%^`y8 zz92HRqZtuFp<dg<@O2|6EDDES-=rO-D%d={kZQhv9EL~k+b{25gHDtLT(pIZ^k3x% z4?N!Z<(^LG)5p=$CGA~iIpLQ^yo&fHzY|~yWgoSkyzQ1e5XSHe>lBn|EzPN4yvizH zrcrjLYg^U=<@=uovU<NLmr<dX80yph(y+Y%b0Tli)Z=_apfP=&Dz-P(*3~T1EQFgh z{1L1ziaDjP4f|9<C%4iqB`;D4`p5Q~O$}`dqIVtK3-+yIw}=5sj0QVGsouyVzrAF) zL4ui*ReKA;y&enAs+F*%go$E#UQ0#pjZ8~|07b<dsHtv<^v(Cy$Snv<q_6z@Rn86G z(w07XeE=Celj_rPxJ~i&cfI4SQ;A3FaHP>GguVAv2^183ABTfW8qe9ek?q4Ken*SV zr8s0aOEbIg6G}sF*DcaK*)t(QS)hFRnc%$%pCewRSrLVH<%!p}Y+QuN36)Bqbq-D0 zadiZ!h{5Rx3JDU9jhb5*izm<4z(L-tStP8(r6es(N%b<Y+fsj}?8@y}u(~^modRjw z^Y{jGW($h=%uLB0PmDNY&L%B*vVCW2#g**(JuL%1(!JEer{(#_5Nz^qaJIAQzmEk$ zY4GSsFS!drFURlQf8O4F@NPnrs(|gr_7_0i^H%?*1L{3jmCV9mfBe*=u?xO=Gw*e& z-=3yrM_Getp+*r$K#H@KkKg7p66Q{7M)cE_*P0+d%+tp3ndqrG@NjvuW%;>VubW_s zn0O=Me%s0VdXJywM_Aa0Ao`W9xKClZ2Or)bQOM%tw;tgB%ijC7KIl+(YUSZ0nja80 zW4IU=LjMYGThFsx@5V;p0DLGdL=MThCa48y;NCYXfudY*AF{=K02;*2wm2p9qutCR zm{+Y*Uk}2w1l>^qr|3u$p^Q(qB5XEz1>|up_khI!_su72N?%VRlZ7{uJwW`s*oQbm zw3fgox`Cu-Zc@!Rs#3#U&f&Z}23%IqLi^^)j0G1H+!PVwLg~@xlR|o7$b<%T)fEhh z<hUl7=H4RPA>16H<3f#IcDPF=X+@(!B>Lnc2z}KP4>WDcLnbaQBAGUlVclW}#k*LJ zH-d|cxm~<CX$8lHy7LTzLh>OKi4;|an(HuztEL41B+eX=M(}xTc=r5jHZZLQ>#hwQ z_gOO4nUoPG<+ArFLSAKrF4PjoM%xh<Y(?FGH?g9&5g~v=o8mW#F=CxV0RniFMk<TH zV&3A*xM|~(^h%?)6z^(`#1QwX8+`yYdf=5L=egI0OdIpKl=FuwEW(bIsko!O79zmN z{iB4L)E+MhJ^aeamW1yD41n>u$BVG)@LgC8&Bmg?J)S*S2nLO0wTKh8BtNkfZ@~%K ze~EvHzzL&Zru`ix<VuMu;kG{ZFdh!B2xL@4t;=v7Q}V!P@{LR^!30pGG0&SW{d9%M zB+pn+az@Bx^UT1Z=_45*8!NnEOE8EQoiDCu5|+v<i#R02h>(<<2khBoZJs~DLPgvs z^*yhfFjV0uV6<)A7yMLaA|ePV#;W62h!r(M=J1MN3raid9mO0rK%VM)O(4qJ=yku` zLWNSaPiw7$3EAh77xWAkXPaZQPw{k)?A-`re>j2dO70q1!39%f91-B@&n*LwfG&X{ z5a1Edr45=0a>KeH0MrQ8WdwKzw|08T5i+?LueVU)6cuIu4&^35Nt9()bH9aP#O^oM zXsc_b&qZ%RlvN*J8zv{fLrXV#yLGZ!F3_SNT=7S9UdlohdoZ`XKV?!kR5S^??7f(# z;me=7Y>{B`FH^F2<fw3+8O*wpG+>jO6!qqW3$z_p6BJQ^PzFS%mqf;~8N;ES>P`ST zQQgmEi~5bE69!zUNrC(YZz%;Ey&?y}A{zH=s=Ap_)0w5Bfe<NIo1OR*MqKo-2@w=@ zxfhwL0T!6~7m1psXvj*DAg+Qu&rNj5O!c3&N($_c(W)^`XRh_sHgWT28raH^<D3tt zTHL!nseHI>a&(pq%;9!?FB;#c7tbw&%$PR8;rL9F@d+bf-yx~;03o0lq3-r$(h;qN zZ~Ap$DhN!hp1~iVqb0m<u$VgfoF+wI9`ARwb7@wYjvR%w#Bl%K)#0s=ZdewqRMw>C z$WKH98TzIn$melT1yc>1MWa{uH^l!X>$K;H1-NFQpczQ?OJ_@eLPIzHIF2(EK8y*q zhNf0AQ$0ouir{t-w+scV;Vvcu)^5Fj|EM~@1?7Qh7eDVn{)je$^|Zb3B;nyl0icD7 z{6{dBVuD$i#<LkN-)@*5`Oo9~4>h)Ytrxx{we?4+fc6RhCzpx&{2#Rp7#gJff90~j zYFT2#U$tyiR_FbB=j5o$<?9Pvw=d*c7ugz-5ToPo%G>7x1m^SMcw9pFZ3$6}IADb6 z$u10gBll;RIe)lbanD(IclCSpWxkiR4UfeAJjG3rtPGqz&sHti*f+mAf3k))(JyF5 zw*XT$Pi-3#t6R;sJ4)Xp^3|v;Cq^L(RE50*g2Y(XO2Kx`>i1wG3!`>)o_EXsT*XC{ zi_7gJmrjH8zQ6y2%Vt-~oNL#r&X!EK8{of%Jf%aeU-;}kW?$qBx9vkXTHmi)l9D9k z1YCi!AZ@<2tqTfakp2Cmyg=?uV>)*YP+;)1kK{gfknCx_7*7=aTzN&t_Pf8g{m6og zuu(YmCW5hYJ&ojPyL0z;iHq<1sk{1M`{gYzs8osjsWn&a6wD_0;(fU!9*)flV+#$k zSZx!=5sgs14F)OkK_%P614Vrcug04pX}sO%mnwi;wPc{hf_qgjr^PmQ>pbEM*vOT; z?(S)fC3@Z6N;1`p_~WRKaS=J1D6RWY4o2`)qCKhgFzq9gF0nCU6GU?TO6$K&RLvbt zt<U}PE2s$hXuogftG&+Wqmgx=^-vT~AiJJ5RRG)JBd=y4Gk5)SI5lwxPdX(^!&a<@ z#T4<+W6BF|a<xEMIaRW+bZ8gf0AgQ%#QtxUw#l<##;GoeKTXP*^1X0dKbe~JoD;}e z3&l*E6+_4esVc|h=3cpSP>ABxg9*s%D%mPIYyCp|R0H|v$HOt9g9Un<tP0&g+L0gb zktn?J<s?9^p{vTSc_sB=;b&x~SJ;#ug04qqze5RK>)i`K4F2)P`=fIU2&qg)s9w4$ zO3J8qi)hRDM@(~-zI8=rvTy$;O7JCXS%ed*_vtsH#?^h+y*w))OfB2qmGb${d7e&J z?@!Mz>d4)G7<%;J2x2LHL>PZ6Qi%b9eD#6X-TS0fG)a<5bmU>Pkjk3$pt&FwG<&Pg zmz_&`2=t5;=2y75o<|oMz{J3yc|uvDtDXNu32%EZ_b2>nK^KlwPs<+$d&}u4^+_+# z?t5YuW(+N(-VT}#thbKqorX5AZD`DiD4SD%%zdZxIFa*S>ry(xm)}nB!vC_$L;cGt zFAGUqP}j;10-`Ai3i3DCyqlMsyX7Zi3rja!Ye!=<3#Oz^Q%K;G8(wSO#g})ub)L`m z&2%2=pf4UC>XIxjJj2TjJfmkxNtt~t9xYJ;;5;r>=Wq9`j4@UeF@?6KIpqiala4k0 zH|=`sU-56J&d}daPU?PC&vOR7OP_FMm;9Ke%C5Qf<TP|#zO_Mo*O~Z5VW{kMK<)5q z{*gfe?Q%NXFt!tD>3$vKGM?8Qle+lA)%nd)>f4bS5=AxkJ-&|?*(;pN<#YS2`&8=v zk3F;Ox<N!A=)^Ur;`*a~Xt+jQkdYh_PQuFl`A5dw&(9V7n}y9JM`nXnL+o5eYwI!l zNu2W1$F^-Nn_l^<9$!563)<Z~31ZY!{qQGs>Oi^T_{SFkGlX&nG}D}2E7os?^mh-~ z0_M?`^NLoj7Q8DymN_4%N}k02(LU?&v`PCckiE&k7S3#p5FMS`lcAtZ1L~c@D&|UH zn&Nn-ef{Dc&~T0Ya{s9zGqx%qhiWyJENRz&EGsK{;i2x@z5TTA?x*_;^|uWf>_9Gq z_37FpoQ4~~vsSO@H{vtTD_17Na<h9Ql(bhU6#aq$^(N0H1^LvDo_h_kn|Snjz^jkB zm``f1_i=U9A`}AXm2lnk@g&?{S4PzmzU>v-W12_4@pAP?_QP<?s@jgtieFLK%lp4) ztzJ?D^XHlNsi}|Mg=Ei`nn&IHj5-7rt5y!YRZkxPNbgx(1SaT<q%SiS(tgC1DP8W} zQjcurZ;8B7wA=VlAHH65pCe~x@RrfKd3ODRI#pEGtbGqXW|&bS`R%E|O|<JEE_n4B zw!u*)J4Bjz^VjX1?tX<)-kivm?-;(1_#WmkS7Al{%6xqaMVzDLNWH>`2ql&H{(SrP z_9ko$bcn&ZF+JZex+hWnMBj)a&5zcmmEdK8Z#Py-_H2INsU~#Dt4NZZ`SHC#9-&$< z=tl{9pGmvPHw>QyY1vu4>Z_%IGeLcyVXCR$+mm)K)QU`Cdp@1%Mbsj8oh`<q?(<+@ zv6k*gh5UCaqPB7R`5vZxdPyE$vF{8W7Tp8)fspeeeI;v@Q1*H-JE-SKL-CgbP#<69 zU_XX4T=(Zkv;MBwu1hQ#;^JdOxa>TTE9U3wbH6QNW9v=I*|&bzTc}s)PFgp@JKx$T zbXG}&)y-`~AE;fl0sZ=EoE<ha`=<NqXInVmZ(mN+;B=mt57X;%;0P&FG3X-F<HJuG z0qHF_<lp`8Rg3oA+|AHo(B7<1up{e!n{7PDHS<$7-X6V7dYwog3s3GXI{DBP0;Tp` z(+HJ1Rvh5`N`A?Vh16XY%BJ=vY?5H+7dF3O>ZT)50<7pCq1!-*$Tu981=$jrF-=>I zVEjX;z6U`b*z(Cz8`Qfu{;70gZ8Amp4j^w8P-TLx$R@rpw0rkTHbI+RF5#50A1-&y zg2=tdgIQMImCD><&*M3!`Qmu!v~!*yDAypnE#~L^q9tyH=`Yv><=+@isKgM2cYDCZ zqnj@5ra9TzQn7%j;@^}%Pi5f=Fzxkin<nQYa~&+mCPcAsQVK~D#KL-jR|s{y19IL+ zjfAlNs3nI3LZ+V*S}fqhnMU-mOP0Aq1Rjuu>`d)(cux+vO2hJ%i@CXhaUR%qLjxnz z&G9xh(Y8zXxsK=l3-!F%MEGaSeevTmN7{D!wrc9QB|FsJ>9fIh`G?szzRb;h{Oi<y zj*@0(<kap`1J7^Q5*d$|_)xIeK)%)fWF4;sK8zDc#tbRO<|z3rn_<Ag#fbkAcflC6 z2avboXJ{sgjbrGfb#Gp&KV@pOmcnLhCNAb9>N?rSjtMYQ3^JAA$#4ZVUULF>EvMQz z!~RX<g9Jn7_GhDqO?^S6$<|WIf*LnwI1MyfAuftowD8n{G%bmKPmzqk;6=zxH7T1& zvD=Mpk^J>xaKYCM<y>xhve!mH@G()B3ICz2*wm{;fW{%|)>3p2Q^I$Vg)G1<4kOj8 zs8uuXOPM{<+XNGqZuyimoy)s0+gFB8O@#^#@_jCWay8WcPCT8S5>G82Kdj&17F3yl z_e%O#?SboG$e)5KeR^(yE3pu57CZDKynY;a!rAJCTH!G5$R!$y<CursF(!CEYp$>{ z_SW#PMb(WWpp&ijznhinQSvJFhErl$58sZ7_V?q{-XFyf5#D_QW|az2zNfzb*49eO zi)4F_oFVvia$E!>Dj+aE%8lk5+uF;-lxxvH__kHo>32l|O0yFVoC;P1Mr-}9o@ZT# zmR6&7sLS+|Ph#DVL6tD5O-^W?)CM^KuNv?Vlx2zjLMqlA02L=9lkp90O^}#6LRO>+ zFR)gB*+>*qyz%{!_zo?MCM%rlD>!oTL?kP?)u|fgld^EV;L<jE<LsZiH>BhT+t+KL z2LYKcC~K$p+-Im67@s0BXRdmD*@x0fftv+|xDI>Rxq9l32K_S*hBn_)&I8tuC&JoH zLZ?fg>~m>4mFC_v=v2%Sfj!|FC6-L1)9vyHvJ;oei|3w>!~c5_<5ZP3V7FrUs?&$3 z$z_Wsp7KNZi7=HF%vS-E7YfNC!AK~K>`#O^d+WgLfl=x)08z1Vp)m6Jo(aUgm_+1W z*91q<R44=*R;k~?y8=N;U+m|RT#7S7s7Ig=-+$jY)%ete-iqt!21EL5T0!ef1uf4* z;uKHmP@t{82X1tZhqg+~l+c2agV5BnNHa#$$TWBjU@1l%vmaVOCKya9uq?3X=H6o_ z5Iu9Qu9_48m-`d#SWStV5VDd<CUEv;KkyBX3<7Ib$loAm#**NDdhbj=B^uTV3K=;1 zgTTX7p?{<euW}s81jBt4Ehq-Ve>T;u`^;w(gtW4tK(=}vEI47oqG(tBfQBE3PLDHP z$u;keNN@p<8SSgZP{^_AK6=+Rxxcv#Ug14Wd`GGZd{;=LhWsPjKzx*36B8i7q!Iod zZW7F%J?Bv)^VO}y*Xy#%y9rr1RC{!IiPyX071Fq#`NUF`D<Fce&22wQYn?39akl;l ztM*_Paeqg%ZIEMq$9kS|L#%mZ&XGl+YOYdgzVgkXZLxV~azE+TZatG@J#)HP-wl49 zOvlF`c;AY9=&@S(x>s^`wCH_@L#Tt7j^d7FE5+l(MNZ&o9gOE>@oir*Y9~zZ_!`m= zrru5Fz9kRFDCZSEjs5_|=>9@H#s;=H-zPp4H=4TS3Hy~FbB{CsqL7xS)kYd=MUne7 zT#nyk#<9hASYzcg^y!FVyHe4*`%LV5!#9l;APi~6aV`wkmWXf<WSZb94;|A@C*x*$ z-0UK)^5EXInLB%H8Fz@s%%<N2k2QpO;N-yxL8MF3v|dh$6$P!C-vkLNgSX$P=-!@S z==^uA9IMT6(JFS0;>TK!(wWP*PqoV@_UP;{QcQXF>Z}F^V1j|xCcpBN`AW9c`F$5( z0Zxz5_is3&7O0iC0D3{b(~CZ}RLACi!K{xF*lLF>kMCE$88o~JBS*CFRCi}sGpOF= zJh^ps2qk+A2NrC)f)qa{5r!5xLwD=8sl0!_cDiM{GdJf8XH=!t&mt4V#m#d%j#Ha` zJHObqdWu&nj>@v0l4cv)TelF<kA9cH0hph+5vc3;M#b{7rJ{~)J~B*eO%iM%@^w?5 zzY|9*JHrG47EMb>qDk8v*1q1{*;<jt>wLU~OkdxaZ73GGYkG^MJB}L*3Vq+=^!U#x zu}8(~b1o2A%^5-Ep6!vJG~i%#@@Jw}N%|K^#nbjGC~{N8a^yfkIpGc%O@LD~fZ6)= z_A6KK{*DC?RrVW}JBoC{JTU@umC8@A6gj*?G4kT3N+g>imDwgp$bwIU;J+;XP;^}| zZ#40l$U+tVPxEhzj!$j`ifFm$%S~CavrR7fjp;vW@CuVD6-l)=dK1tEcRm&vEa0Le zxNuuL4e~*wCE8o@g&(~302IE+xHu8jmI*bUmYQ_R@eJz7@D%o6kenE!c-_G%xg4?w zetMKWXpq%3ynJDaEm5~PfkGCA!h+da;ECzu$GaX!qKVU#FHcq(A}ikuxf8lw6nfYK zcyymL%SlK;C<7F)d{Z2VNPMqDJlgTcTWGQI5h(<@M_~tH@dXu<fb*ZD97NP#d~|jU zX&OZAi5&HrgLV7ufZ8^}USlOW45gjdVnw(^OgpYy*%SRY`pY15wQ|rLWitcxLb((D z1$udd&Zg$<7?+}HrrO#;D3M>~yvEtm-%>ob3A|B@1O@r~@p<}qmAd?flY)$p7e1C% z)g-05SK~6CG}V&wfEK$guWwtW!Ga!2`reISecVeKvM#M_FA;t=eAYr5-&Ar+!kG5z z$yBW)s7~5jAF)T_7b`No(e%MjNP;;v_ZDkbKlZDaVVYYVv>H=ht(WFONv0bLtQ~q5 z*5m2-642^8yU{x>d}eCxGhY6!TT&wAvrN%B>*M}yC)76Z05}=knE5>k6Wd4||5`1) z)Y4heXHY41eajOPv!C+eHgNp8T^8Benk7SqrEx1O9|CmFN`;D<XOTZAS_}Pd#I`K` zUY>v@t7KD+CucJ|&iX!y1J^I)bU@a%t0g-&=}5q~UnnQAF#MO%1oscf+<k`GZzqVq zFUqf?r;pXSE&!1@x+ET3v0P?A@k_Dav-+H!G3Du!<lH$zn=enx3ZJqw+A?}lkFOB4 zhQ8uXR#Q7uR;ib}PV=@q6Q4FGzt)<2y;uVc!KvYU#om_IkJeVw%piSCyy#d)t>_;! zf3zA#$j!Ug|L7-lbok-l5q{dQf4gY#wmsOhtusa5i3bL;go?7}ybxR#&;4rHuJCri zvnHC&M1x&<q~5`&WRgUW;VNcZZ8`<dYlef89)g_~ET0KFoInZ{uY-bud>`O}<n#el zsLr=XuemNfk!f%5mDdp>e5->1B)xtkz5bB$VdKyvgeIvCoZyG+deIzsI>`pLL=nva z3_+2{Z2$yK`T*3m--o0AQmaSs#PnD3XH-oZG)groW=hyyZHeQ_#YmeiqB}cw`En8% z4y#{0bPOCWUp#qBMU5yx`$|i}RA85j?m{Wqh-P9a1qaPV?@I9~rbKehb=|;J%J4N5 zPHT{RH-k3uyQw>u&Sx?%1|x>aKWX?Ig5_N>-vLo#&0jmakO4*w%;6-&S-cwu#sG<^ z8jS`C2Q|VM(DU=GA3d!kV$pRJQc}r@TPhVN=upn2vIF<hDf_kJf$F8=77dx8>ErOM z+;-*WqDVe`ECovI9AGlvw~RY+?F8ae(!tlB-#+%Pk@0(;d7P1bLNRYgVPIY+_jy-8 zJpg`QoRoZhsW-K?009|Yw45UX5yP((IyCA#2Ph7*;|O^M)S6&PNa=|a74eHs=`*1h zi+SLhr}scYIZK1-^<+xJAuyA2|17G^MF%MzHz14kjm)G7eC$`_dBG-KSKHrD!3fi( z6!Z=;H3O@fD?(zYLV}`6gYv@X+(IIZ`SEbQjPR<2CY}Xd-L$U#bp(Mz)k}mlS9qWC zs}Cee;u|a4r%X^y5&9`+??}$(l-wv84~rXj>{80^6p`0*+CDnfYAy55<GmHS!Cdwe zgFPtrq+%0hlNmjv9H|<Z@Ga`V&$(p`YXdsPbs-om%&d~Szu00$GvXBjm{*4O$yR_C zYVgkuF;IW(@e$(}xK!2FxzLL9KOx9*WwXb>?RmkYBY8XYCXg@N3mW@0H&{Rko@9Ml z(XL?#fJeGmVG#!9%T7Z{91>3a;aCkW&uWSM8{YsHs`2Wg$}K>-*W-H$%g-Bu5L!yW zZad0|E^BElUAU{wPCvAS1nV5vL*N8}TB2TG7DYHH&_c*^U3uh(?5E8RdF8JuXo4bX zM`%&<Qqc-z;)~{`a|;MW*zW-sZS+&24T3sN>xKFgFRGtink*W0H*WGViIAoR)sG{F zBPJde95sjwq(O&XPQo4ZmDxKzI1v#W+K{N!N-&#aNvKpE<BC{1lgnNbA%JcMq!zmz z68<2iHI!HDPNM*2ssn>4%XY*fGPTSJ1n;r=NiPV6-#AT19lptTmT6M?8--DK8Vc>H z1p=wj6g7Euu4pSCmwfMSA`u%AqD$VahY_i-w|Gcne~O_9hRCupr*cGi%f@OEMIu0D zLoy-bjTLc7NeAgjq9RkF;(^D_c`3AkX_f5Xn6Ko4_e>XYzZ6A+B1t7dMR8OydKy3_ zKcZsHnSbr0F*r>99Ly|6C90WTNSr?dkz(^nL<-BRBw3e#<68RtIP7umI${F5Uw~Ql zzWwqdo+D`G{Rf?X=Az>|La=JP4{h9hnNn>xW9k$Q6hn~$gkl6j#Sh3MOH?`hg~2Zw zU;P6SQpGRNEK!A3lV*e#B5wyS?+-=ZAB{W`FVx_2ZJ0$8*u`A9#gP!XNB&GM?l?S5 zHcnYK&N4PmS~gC43qBP~*P9OTatY{GiSITjgcfAIOkIpO5@8StNM&S%i4uGjC`aKW zbEuky<cTuUm}G?L5_~{$q`LyzQHvNwpoB(%%$3Cs&zxLE5oWtRI0B;|I0-2oXma=_ z($Cn&!X1=|Of`QTNs0_L12SCN!NeSMJ0Vb!NC~!_77Dhj2t_hGwg}l#h}@S+D+=^) z5(^4w*ck)p<e+2B2?=rzXsXvT2D_*^5^M=AdW!NCMUt!p9z(!_0GL09aQO&%ZL0JM zn(92vOumdpf|MC!Obb*Sh-9>^B$*4coPXvQ8C-j>0a|p<BoJO(nmC1zQOKGzKXyS< ztxSgBy>{$Q_@hVXA`79?5DMO7M-Cd_y!}Uy$z@Dpl1e0&BuRlPHuMMn=)m`FkVu;n zvYeJ|*wV*Si$VZBOkp8fjhQV{nkqxZz=I7fQk=?8sSKNUkUU9&mMJ4Tc_%(1Q}d6c z*5wFAQlvZ;c^QvI{(j2W1Z@Gf(T1JGQ5kxqjM9uEBMYpLtEz3WAfrs><E#ZCA;r?5 zNadrg1;Jp&QbB`PxgG|SGb5D_T$A}epoR*AS2zHs!U2$j<~s1iDS+%Xss$zMf<)42 zB<T8xX%?nJ(Kdo$sFsp7J_MJKFe0isg3{!xNIG7^YVN5Q?#|^>VS^MtXh?e4#@E4% zpfYM&VCNLk&B^!6FsJHb_@hwf?_%ZdpL<C;*1}3CG9b#Cfs%BK=$X})v_WxJf{+X* z(Ot>)j{x*s_^L^8|J`&=o7b2>(i9Odl}i+snEv^#Tp)7vtzk4EySIf@v}GSxQ%qLo zX;D5xtH@Zg+=*`zj+Vd%7k~XNB3U(aAsWm-xcG+*P2r4U%vxcOA!>7D%_k==yJe>Q zCh9m1iBF6+tAlw^r({;%17+dAg5woqmJ9s>L)7X<=TFT@oCZnxpCxO$@Z7hezxwrg zj}QUv#ELlIWxToZE4$C$p5G#<72no(dE<~a617%+mx!}i-u-{Wix~J|Z9W0`-@)Lv z!IiITZMdIRXBISSEqpf0b;1fhBQZWz-@3waBUB_E8@@CazwsIRRQZl}g>I7X-RnF8 zolHO&JpJ2Rry<y3-UD50>rgct(O@;?HVrMz^(K4Y%NLS~GD*Zo`Rnnseb8!Se`0hD zB*5R`0i5L$nuK)3stG;~mvmQ7WCz)QfEXk~7z9leB+)G*j*aQ^GR;uM65CM`Mfs0^ zkLVw@{I=v$B(w-==qv0QLm%>^#Q-7zj;-t4iI`$G>ewU@$n!!Se;^$2<XQLZ3k&CO z5h#c5&)Z5RvZ*+9@uiJL?-mTsfZ|km<sjSCHKk#TrqXLyHq0hRJ8;Y1At48@gGF`F zMrHIsoij(-*<^?g_WO~r;MnwR#(_`E6mIlEFgo;aVoecA3is;{0*X^pM7=y<y*2n} zlbq|p^mA#;uh>WR_Q&gCZLaox<x?(3qSccO!PkZDu-b9!T4o**jXWHoFZ7|xf|1+i z&1Me^1vtQo27_EjWL{E(iT(6v4WyJb79r}<o*B^ck9>a-8ZOBVyB}M1WWn$l_r&)h zLst^rPtwi0itx?ak+SEz%lb+Kbet(DHDYSP)gYvIr)ykYNL3)Md_iD4C#Z1IKlEux zrn>U_c=%+Hc1|gAG443NIIH{9xl8kDwvj7@oOS%xPlDlSBa7jTDkV``f3Ca}*0Uyj zOFdW}U)ti}J<V!<D;14j3$qKBLii%m&+6@I|F-P2J`PF<zhV{lVHpQL(?mQ@b-?*P z;YTBE?WyuKO@|Dp5!{R9F8d(&M^Pk~W;iW-xrp#sXz)=pBzO*%NUJhUaTK`(1l?=G zTsMX7D8gI^*TH7w*fMn!&%8ft9xG&k^7em)8tD~GfSRW2el6I<L-I~5A>`(CxqA6@ z47M@;=;?(d)}zzs+tLCU_ef)S`jmuIH+*rLCASb`2_uKX`2#7fo_^HNt8-sB0<NS7 zLqWT^%u2KyH_=S*7TJF`_{)nL7s(BGlF1bGcDdW12rIu$7EA?`bwnr|bfPfve!c$Q zvp~ffCaHX-zkUA8OWgLH0SM(!Ps0@mCWDm~2smA*C_3lX<1}O7%l5=$m_sGZ>KFoB zzxrjq^fQMOS^W_vifs8d*?jj)@;vLR<eVsua=lEA@@rP2JQ>I+C0h_)qAJ5)9Zlf& zW)>2_S!6ntwO!^3Ey1d;+et9+BisK9zuccRUJYR{k(NFAH>R=1lo=g9E5vPNu#?p; zyi$7gLkOL}njb1K+u>GnNL2L0RAFZAT;9nmBVL8L&|B|&8LsyI!`?#mBkce~YY|d9 zJS_GZjw!@W^Pz|Mw1znN6>cZVK2jtcD*%r*5XrqJu=6`M!0k_82HhD6e_jd^^c_0- zdVZf3BuY&BPpd?olu3%LpH`46DHa^xdSjKl^(k>X`TyJlR$glc&<ty9pC9L0Y+pY% zFc2^)srtMqSGPj~jaaX4VN&BI1se3q0EctJ`q(qk-e^JLyvAh`*j{NNwr>?FKf7f& zWB293lZnrB^>eqgZJ$?1DM*Yh1}{#8Nw$n?Pl61W4Vx<qS}!@CD3X>e3o)5hti4ie za3!pv7D%KrK&;)8CeqPWIg{)c!7U}(jV}nz{Na4$X)q7<{n>iA?Mc>k77CuCl_T1& zmBYEspoZFz$jkGz$W&vzC;)v=O(OBvGy#`$`xUY~-1sZqg+ekYjA~8vwq^~2l3IL$ z?WyJ-isj$FQ0}b_cVwggt79EcFfYN*DKIJgqAK<|EX#YoF!VX9are`4KdsS8xHq0^ zeO3?z22)~p5VqQNMq0KG(td(63WW_l$e=Z3XLw;Kj+JJ4p1)>YW2xz#nFfj60QFpd zO6m}*QY-#G8aY_mCu&1<ma%#Ybe&5%{okeTZaFl{A`0cPwsT=jYtGQCNS>&A$G}a& zDx(IEZoF#ihH_ER4>J)2nkHspgPuW={XYp%2m=#?Xs4~U-}U3<q*Nu;wSY%88r;n4 zgC*qf#EaWCxI<)dUZkqwlhXwsZk@$iL@pL>?wf<OQ=mr>`XD>1{>Qy+HT1Fe5J)c+ z6SgxXo<X5+3xGkG4o|E#(QEmB1t2g-bfWy2MNvm8*Of9w6PuaFIuoaam^h^fHX|YB z3clzr3ifZ!4!&i9-IiEOMFZRSKtrl#a@nrxgJzy?MA<rRJXM|noq&{HWLD-UZf6My zTo2Xyw(0bd_lmfN=!WjvNB|MONn<1%>fYEyaF)digYcaAYr8@yww;^=Iq(G=)M3oS zU{#pu6K%$m)2w<terpbli8{yfpOrZLE|bjdPv%^%$f87hZ>W;DuPs{lA-xDL_}deV z0?Ru5G%-lY!66kK1hqlfq2yfTaUFsqyW~w2aW7UdM?y^-=)bc&C*_EG0}gMR<EOxD zii55+bnv!pPXl$fiBRU;K!BxW`f}0RgqCv6CK)D^T7SRahg_3VwyP3x+~L>`>;!)M zd%-S+yHQ8kTv-%6n2>Q3`t};S2I?-DP}0+|)hM&>mEomZEkJmP^j7%LpxI~c%-S3~ zZ)wGADjUqApGSsBZ!!ZZax5fPpYPDuMn{F1tng{ncf<#yN|>EfU4X^#H&kxqug+d) zx%Ju`P#TYjCchO4J=5-PG`CE<!70f%JxG&+Y(AITyE<P?u~!6JRx%+#yHqw_hwbfV z$?5m(giOLBkjwV$M4EbOHYvyM8p2m?+KJfQiXrOt7ly4Y=Jf>;qxqD(GysS28lD(X zO&LD@?jWF>7ot8m9zdc`?d9)W@GsnL6#PN_axPps2*NjCD?!(ezPfnLvM%CuHJ$~e zhT}OucGL=#O3S$Dh<cFHvx4q?(<0A9e%OW%q|vW9^3t($HhSF4_A)ZVcr>jO_Bmen z4LDdF8Y6+xKEmAH)%+U!YH(9<w}qx;Xn@#eZQb<43$<|gULTlxa!xKyKHjQbYwXSQ z-`2@dsyxPCYSaSP((SrH|J)cS%Isu+P{&EOWjsGygO^xY6<-?So=}Wl;n^w2U2sHD zt~z}-Z_$ZYKZvL?(uKP|ZIQB6o^R~AS>FCOBUI5LLJHa?@3-Rh^U2xIav9R)R1?{z z<%P?<5#N%kz#LfR!$w@y%npxz<=9}spzD*4-=N_|&~6&=AW$tRn?yc!82=^kj#Aj* zLPv)1vyEP1ez{g#PMj-^?MUtTv)ZAj6=Q)N@8X=4gpGlDSje`+C?`spx2%N9nnHzG z*zX7t#lE0UVpYrtn9dNyfpQ_dSQ~K09*AX;e)yUIJaqtUxy*(wEV05yG&&G<)EArs zEhQa>!9tP>t+aGP0t^!~6DD`&-DM;{%`X4<wy8;*>5YlFqZc7#?p}6db~z@86uyQo zB1c<=heTi+Uij{iKP)4ck!nn=3How%pWu(bxg5PaY4F5>Q5rl%0Fo9jhOrK!S(EiE zoHG;$8<2dfVy}o=1i{dFhe0S`DJeOuX0MJ~E7w?Jw@Rk=6<V)ufOR9)To9#}h6uCV zA^^AC^5bO{AiI!6?rCSFsV}cE;T+vRPNh0TU(`y#$(4q3L^u~BXY&n>j#ms`;d4=h zopP(Yc+hZvcYYlvrjQf!pLAuGk=fG8iWp{bAX8Ty!QKj=t~P^nA=hdNd$)8FWocrF z3_nITlmcs&hQ^DQ0nJ%8KN2an1j2S^Ytdas4d46|I-aaS4~c9<6edTa#h?qPf38wl z9cE)#3d)h-M2H-Q_OU7Hj$OFv&WcLJj-9;I|5dT~{XdmRV17d-^G92bZDZHrR>uW4 zs6pyPqBa#o?g+ONn9A-m=OT?~POMr;RH6qjoYBS<Kx$4?PyQzzM7&JZ_=g@jVgwnX zGz`8tEsX?{SuY~93yeya2$>t~l6WkAIKjeawyOuo2~2?o8s}1S_<Aa=Ud;(K-9?(i z`!UT(4G0%wfCLk-8oaPqs<#eADx3v`h$O}}k6hJn4R<Js(BcBbHu(oZ#O~f;?0;qb zzvY;JWq7%d@?MXHv&%RIu7HT=-kmI&mlq}_u7HfE3pZs#ldC!<E_rDj%@<`f+>swN z5nE%Q5-p-h5R;!tBM^2!CrDiEOnm|9#NuB-N!2T5B$Ftsl_epNV@Fja=stGByhu*7 zqlm=7viiL>0*fPx2LUZ!I*2hq!ei3Q_(>EYFi6hGGh*4IR}^xL9MTqrK;tS?Mgnnn zu+hT#tC$)*73ydhWJO0XTE<uZi{5qa3e)=4;)q1(;Hj?{%h)VpK4?8hRvPvI^Q=2$ zG>PrN<etPzuPASIkAxKpo5UC$8>%fdv`=XdhJ~Dt+Rm-T=oQ3+s54uDnxbgm_zu3r zwj?GJnX_|#c^D-f2y&gz$OxVsFC>x#2>Hm>m6c~c>mcE!#MTxByk>r2aN7My(jM%^ z<JNBW&ulU|^wf@kzgJZs(*cNJs(N}!3x3?e2a_~px6GOiJd;}~=PRh_55G3&Hr&N4 ztp=?zdBcQW@IqLn4X+sbe2)Ab7*rk}{DZ;D5d<&?^em(6axDp4v8y7zzDOA%24k=w zkW~oko{nTp)rT60^pqyDIV2^2l~Otyl3>c73Ir_Ghb@S7?GZ9|7~mpkD;i3&I#7WY zt{g=YSy9!rf4gSZS>`}X-fC83a@;zhR~)KemzR<3bjz~4V+t!nH2#9LUb_(nTQ#$W za<F0-E5XAVY{K3p0e6P~%LM`>!*=lGv{;?Gvn$-UtdOGO_%dB*Gq`biQ(IY#r~F4v zXSGDmp3+@$Fp-gD27m_$DXNY?v0Q>G$dIZO)Qri~X0$^TW6hmXr5Vd5jGncHEP#l* zrPZlW_RZJutmCQ9#|RbY#VbhFCR5wo5y8;%r2WH$`mlT98)@lgljyxWqn+$Al_&ej zKt%n2bamZPO?6+EB1lUp0wOi^2%$-f^hoanY0^80fPjFIPYF$W54}SG0YmSdPz40( zgd$3jB1M`4B0ACUH?wBut##JBcfY&Od;i{d_P%FHI&4BK$6%aGurs`OW*q#bJAAS% z2TmVGUZnelZzV1efV|5bxNoAWTOrD7*h5s5qz-qFnNX%Lpa^-*(UjVhwbU6vnx}3Z z7KNZPxHn3xj7h&ux|G6lXh8CX(PscY1E8%~p-HCbaLOD%5E@mQ!W4qw+^<3(#J5vW zNIHYTvlnlQX~Mh`=;))xF|}S85Q(`AkEoy}kq{eQF@x|d5}Joj5)u;8?3dO{qqHXf zng$gmS3hFQ^!9V{v8A(?F%r{0Cn9yT=%b!(3+DhSGE#BlM$Ba)tckzWhUT9)Lu2A- z9U10E>Wdw21Xz5lbCU=vpaM#&G>K{<M&K$<H3aOcgEb%p<#pFE%Jh%PWwZNSG3A>& zU$hl+OGDL>=ir39JbYAAjvoZ!>~G8qihUSJq&jY^np{#mC~7Q$BpJ^(6*m+<fizz5 z2!>5PBA_s)EUl;r(>Ag;8Y<Rs6ijAFP=Bs`ss#>OkPRua9*<eFijyrN8koII{)k=k zLyLAY_!W_jGB{Oxoy(JjC}bF_pmm}2H-6-JGSfXmW_YZy#t*g8wnZH><Ag~JK^cYq z$(C;qxM)L{N|m_w>a999OM5l)G2MG=hYLThJqfSP)l1HwXwQj;`loZ*`$C`vDYq%n z4p=F3g7m;ewkARyIRs^9<|ZFaX{Bg$<*SiOfWtaGx2G5WCH7VAs6ghc!t&C0eaC%` zhHkk?JmQxBst`Q*K6GkF=5v@=(0%E&DaI*XXv76iw96Y$wL?d#&ER}z<=4+!s4P1C z@Q2+3?pyi*<js5zh$0L|BsaZAB+o=gj{Rw;!AZA26LmE{X(B#@m_DMMZir(qc4<2? zFW=057Oq`gbW^*!>1p{Uvrp?LGa_?ySw#+sIUlx)XZkvO%=$>C^R9XYr70Vhgvdri zKx=>m4L7389pGoMt^lZ`G~>q8?s;QfeWwf<mUM_Y=e9~@+N0Iay>E%X4Kad8?@_pM zMirN_VlT9=)8+%2@a<cKY3`?HVGbCF%GlnPz4T0dN$JEt`MEi?{6#Y_prLY1$}ts* zFkLQEw7R8X@V+S8ko^gZE@;u!Z>YKCm^B-$8q%Chw5s-^o=<7xzQoArG>KbLS_S36 zH9f8%UcldO#Y<W5u5%0E<YBf}Ez(|@Gr1}(SShFys1GCn56yqD0Vx{aB;(NE6UEQi z{>&ozpCiDo-@^|L1Wax(6(sc{AF{07eOY8QBWxDpvO})FOIEu697`dmEFGXF0-k$I zY4U0JB}gRi_7F?V4hffK<7)n`3Ey&VWUiB~Uzey}U~-qJMAwlOlczO)-v*c$O!Em? z{<QV^m?*jKmlascgi3@-Xc8Wf)@jQZE{>>lNvqtUWnv~dD!=;G8Jt&->{Tw1$?-dj zx;Oo_YpeK}dRl<Qr2Zj2xoB|2ZF_=1MHiYH5b-9%4~=<1_uCoFu=<)k{ni^bvC$9k zj4&#RA8tt@8Xl~~@%uNUB9VD|UuvcotV_|lqh+VxoFzD3^S?8h6uE;hbUL+X3-@%} zqc6j&W&lKera6z>SM5$>k>2gr`r9odKZX&gRkl7*b+2Q=Vy3uuHU9`SZydL}HB4tF zvbfr^_><+I%!#to$m`?vL&tVG*ud@!RP|8or5xK+e2KJN3;JC(%Fx*0XkD3FAmf3q zA%uRXK9Q>(>}qyz&aDfk=TwR|ui6$%y)81S^Oj>g4`b+>daHZ@Ir?+62bvm~8B#Pw zE~VMe-9f<!b_+q!!7Lbm>oCtoJh8E+s-ZLE8y9f1U#{@2^ph>z^*?fN$IIeaMEKlx z{B3tg<u{xq^d8O2mrVwI`nlLs8w=ZR)7+YbpPzi>o5{)fTWZ%a$$3Oq_r7J9FVafb zBu8?_uA2!&!;i!roJna)+srV~=%;V^)pxS+HZ8Q|7$d~<ugT-;Sbp%<&1lTGJfEq+ zrf3i1`+pD;dFQ&pG4f0aeai#EH6BDbjZQV3mMl&b_58!fA}<LeizbQ07ix^$>Nvgh z2J4m_-|E%pqz2UJO)-V%!Rb1lGqSs!jv;^qi>0L7H;_wehaDrnw2%g@heg(@PamQm zo#v5nB2%NNBUzm?V@>@;a<iorqiU^>2u)h60!t9R?9nSbhPJU_qijj+9@IAlFZ&wt zPB6(w4$xM5(iTCy&m^Mnqqmcw{u(dD&0lY9?w#vWpp*HtGfo45c6&g(v90(5XX^<K zj%izFBx><>E<@mhfyC$XP%Z*gh&i<YjU~@R-sWf(S0ehC?$O;IxUL&jkruMcK@1iI zWVW%B3eDHpqjie8ypD@Xnj1sRtvSyuom>EgDK$V{yS>_Huqb;MwxwYc$=LBKFS{mJ z^3ZPP*058Oi$)!mzL2MfL)0S(3{_U^M*0<Af*`5p8mv=BnL$i=Y2R8tT@Xh_l6%I_ zt$2+~Qq<+-TuGLQa%G-nV<nSuWUcgdWnu+EdYw%Kclj40vPO@&Z~C@)&A@(zYOxc3 z*tDBR>5`?=Xr!`#XM2_Hc@hacq2Ik9*mI^%diibqUYmsu->$BTPjTj!%CMf4cRgPK z(r{>KPZKqFW|94t{8A<ha+tOnzdIZp5Kj{kxwvgs6Y^#J?0b;pl9InpH+Fi|{__cW z7-fd?ZkaI5KAQ&}crW{fvLvifhzH)@pq(P4OV=GhXMdx#pu<es6|*q2eCe95GYx1| zi6V1`;CMcoj%?Z8vr4RXslcwdY8(n9b#F_{OYmM!ma(1NmNbg~{P@D%Yd*S57$)2I zDxqv_@_dXSk=RkmwVukJ>QiIb_f|tvHU#Azj6b;CaKBJ_#xb+BHmCzHoOo2hHme?V zEvX@6W5%h6@;zLyQmEdlf!!SaWRQ;<a8WsAoy8*R*!v&c!z^G^%pWsVD4d-lr$KC( z^o5aw=|0}8)%QvS*xbIkM9eATkx`vV_^r5-=BW*4Oz_9VUit{I)6tF}O~5|!LRb>@ zblLizrK+;4pjUS~{_n4eR<D7nTC>s`UNoDFkTjdZkpku!n_*$(K~QEJ2LW`Tw3>Ad z{%E|`i27e*91gFSM|0Bd(;<)zYf58HJLBQ=<9Yl`T0n=SEhY-RZIo|T-%a-)Q63?C zS2@cxyX#)N7o@&IZIf4BP5MVbo;5(-&-g3__kjenKxr<Um}A4q@9ZdA4$#CK)p;4- zjGtT@o_@m*ef+X;B0acjT~pEKMlG9!+$OGGazDVx7?T!;*B-n!AA_{>&6G>E2=P!r zOA%0e&#RRWg@apo`EIleiE++*yc+4xW0=|Fsu>er_mOl;Y|_h(Q;Rr<K1dR~$(r@X z*i*tQdfb&HBvzl}@k(6VS68V#8Y53LqvpWLJ>Y&aRvX^xG~X>JtzzhoqnYs=w$&k& z!$q9h?rgnC@+O_{fFNZjMYDB!)1N^5z5ltH75tQY`=;cP9g#k6)lH&D_t8O-$s25K zqlv>mu{kVqWQPB^&Msf*ilyE%)5aC}?_1_2NR74^Iofml@;?97B`8e+s#cC+_MZqa zm2S>Rp<)V|?8G9-<~Hx)#J?ne<%XXHkAVlumgW(&zpW0EpW=`PXTkPd`m@|Y?DBD) zDVoM6u*jK`ZL2cs*TRf%Wc|MvjZLU4+D-wwNo};ZlO9Xo%`eS7u35~#$iheqLTLxt z2@^i7>aP{>?+*%}br%JAb+EtG=HI{aJx#NE*R4DAb>Ft9jbkuwuxZD(hVfu<c>l+H z8}v1z!TYU3zCRLK#nI3J{OMZE+ViUmFV6+~``=j}%RKn-POW8cZ0(b;OPf}PxEz0| zV0)>qNOMc46YcU9w)lboA%RItICNoQ5zxcUkvD`=bZ@B+_S4@-D+_Qa^iNC`BlcZV z3TW<{8GEH%QKH}>bdoGVQeWK7VX*>}1J-dv74Ra1ZbRgJ7@I7v(6DuoVTHgLvJVp2 z_oysq@JM?$s48q}i*HF$S^Ij(_%>AL#3Bt{*<oqterz0TudIuW7j$=br=`yvZ?~~+ zYca76zIg%Pgyj-_uI&?;h7Efe-TV4_oFc7}bYO0YsHu{nevX}lyE@505mE*+`NZJA z{SP0BeFO55oyzW_51@InTFvi$z01PS>)nHEa}~Y1eq)W;FcO>1F`COEcD!>tYB=bs zJfQYj`KL=i6*)h<CZ#w5b3<b|N}}@e8bJFkFEVsD#6sJQbusfFGdl0@9z*u;H1m_* zAAfVKDqaZGI=$hP@HLOEVpAmo!zRm;gL*i05Ko8n|M0<wwN0*#)VX*DEg$|MKXna6 zl#-(&cV_~2gSj8HzFSsy7ii?@`8J}X#oO^JRiC%NYAyO8H_juX3<E4bYi&^#t@8GB z=7*(Gm>y2%L2cK_6IRXjPk5m!F`s&5Ixb}UgHXT0YF>A`>(Yi@q5w+q$x1IQxj8qF zn(iSxSF61Cs&Kr0;h~0VAlqo#Tv`~6RUc4)8IY2AnrkNIkz@HIb9skG*EmImtrR=2 zIpEe;Pg|xW>Ol{)Y5sJ)S&_gi;dlmBVN}%CfxS$9R}}P0w(qW%x2v91NvU0>=FzRZ z6`37Mc$)`oC`{?jX*_vv|L<(Z5v>jBaZh9)4n*Uq9XkapQ*O~YI@3@P%91-DcQ1TX z$FSeZ=I$<O$y8n0I!r@RSejTUZgHczIjq?PK(rtlNtor}#T*sDJ0<ji^P`cU3@y_J z?lD!EeRTorS7^l-G;|IK-BeH9R@4$OI|z=}fj~mFW0l`bmu_eY2ESW3a4vnfx`NCj zFBA$w?+sC@zlT$Wy#p&iv>o2dEn1N4ojw{*O8lNIlK3TZ<tpm})==t=VFecf<!-<_ zQeDBsN$zVjv|Mkl?$$1zIFl|za(JJnx${*9l1-?#sr+=&EUSOW2-w%<V}9tZ&_cG+ zYKF~PV!PE&?zRy%a5Q^8`PH=f;11H$UbKF&U_YOb1@%)20<t$>BF-2%Ho6r`@++>l zm{)vh8pQV^`Amq+dcL@#ji2op=xwk?X7XSi$ijG&G*xYFif)rSDtl;*eI>oGe=1MQ zxz?>zmDRgeQJjh=pN>3-7p`fism(1Q&%1n;)SLd(jlm$F_!Fca7`Ks>;*PX^Mn7ox z3@!MX7#zsTr=DB-(a@~2V`Tf+q;_qN8uWd9wy0yw#dN=OUAUxL^YgA?pf>@2Q`~f# z5issfkRuq&&}rr0V~)*N`V|DOu86G}c;c{L1^@0Nmecsv)GBk1Q$hIg`l)8j>*e4r z;k{l9bSz67sK*>4wK!7{v<g{VTzq-LVLKIeMTF}(9n*gg^W<NaG2n3$u?{Z(P5FN; zMJQm#N8)G6cBrF_jX(E>`AkE?zXQSSE#kjV=<^Hz0xkLR{{rg85<vV?O}ajwZ{#)v z1Xf<C=wdOBKhXa-DB(Ry1cdk~31LJn7IXj2_q&*tFb(xP11f^=K4YLwNJLLePw?Lk zrz8KV>O?%D8Xx!Z@#p$C!NwnsEUFvt*ZuRo<7igGbUea|qAXzo{dxC9dW&!xF#&-v z1p$H7zs&zo*)#txgp@L%0!x5@=`kg>`BNe)tc1_`gsw@j`qq0#Px9`%`ysGZB3? o*Jlsl56vXid>sRf9J~$v4c+|Q)cp({-E~x-_}S^0ia5ys7iWL;o&W#< diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/hdl/system_design.vhd b/FASEC_prototype.srcs/sources_1/bd/system_design/hdl/system_design.vhd index a748ef5c..0afc5364 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/hdl/system_design.vhd +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/hdl/system_design.vhd @@ -1,7 +1,7 @@ --Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. ---------------------------------------------------------------------------------- --Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 ---Date : Tue Nov 1 18:11:29 2016 +--Date : Fri Nov 4 14:33:46 2016 --Host : lapte24154 running 64-bit openSUSE Leap 42.1 (x86_64) --Command : generate_target system_design.bd --Design : system_design @@ -2114,10 +2114,14 @@ architecture STRUCTURE of system_design is signal fasec_hwtest_0_led_col_pl_o : STD_LOGIC_VECTOR ( 3 downto 0 ); signal fasec_hwtest_0_led_line_en_pl_o : STD_LOGIC; signal fasec_hwtest_0_led_line_pl_o : STD_LOGIC; + signal gig_ethernet_pcs_pma_0_gmii_rx_dv : STD_LOGIC; + signal gig_ethernet_pcs_pma_0_gmii_rx_er : STD_LOGIC; + signal gig_ethernet_pcs_pma_0_gmii_rxd : STD_LOGIC_VECTOR ( 7 downto 0 ); signal gig_ethernet_pcs_pma_0_sgmii_RXN : STD_LOGIC; signal gig_ethernet_pcs_pma_0_sgmii_RXP : STD_LOGIC; signal gig_ethernet_pcs_pma_0_sgmii_TXN : STD_LOGIC; signal gig_ethernet_pcs_pma_0_sgmii_TXP : STD_LOGIC; + signal gig_ethernet_pcs_pma_0_sgmii_clk_r : STD_LOGIC; signal osc100_clk_i_1 : STD_LOGIC; signal pb_gp_i_1 : STD_LOGIC; signal processing_system7_0_DDR_ADDR : STD_LOGIC_VECTOR ( 14 downto 0 ); @@ -2135,6 +2139,9 @@ architecture STRUCTURE of system_design is signal processing_system7_0_DDR_RAS_N : STD_LOGIC; signal processing_system7_0_DDR_RESET_N : STD_LOGIC; signal processing_system7_0_DDR_WE_N : STD_LOGIC; + signal processing_system7_0_ENET1_GMII_TXD : STD_LOGIC_VECTOR ( 7 downto 0 ); + signal processing_system7_0_ENET1_GMII_TX_EN : STD_LOGIC_VECTOR ( 0 to 0 ); + signal processing_system7_0_ENET1_GMII_TX_ER : STD_LOGIC_VECTOR ( 0 to 0 ); signal processing_system7_0_FCLK_CLK0 : STD_LOGIC; signal processing_system7_0_FCLK_CLK1 : STD_LOGIC; signal processing_system7_0_FCLK_CLK2 : STD_LOGIC; @@ -2145,14 +2152,6 @@ architecture STRUCTURE of system_design is signal processing_system7_0_FIXED_IO_PS_CLK : STD_LOGIC; signal processing_system7_0_FIXED_IO_PS_PORB : STD_LOGIC; signal processing_system7_0_FIXED_IO_PS_SRSTB : STD_LOGIC; - signal processing_system7_0_GMII_ETHERNET_1_RXD : STD_LOGIC_VECTOR ( 7 downto 0 ); - signal processing_system7_0_GMII_ETHERNET_1_RX_CLK : STD_LOGIC; - signal processing_system7_0_GMII_ETHERNET_1_RX_DV : STD_LOGIC; - signal processing_system7_0_GMII_ETHERNET_1_RX_ER : STD_LOGIC; - signal processing_system7_0_GMII_ETHERNET_1_TXD : STD_LOGIC_VECTOR ( 7 downto 0 ); - signal processing_system7_0_GMII_ETHERNET_1_TX_CLK : STD_LOGIC; - signal processing_system7_0_GMII_ETHERNET_1_TX_EN : STD_LOGIC_VECTOR ( 0 to 0 ); - signal processing_system7_0_GMII_ETHERNET_1_TX_ER : STD_LOGIC_VECTOR ( 0 to 0 ); signal processing_system7_0_MDIO_ETHERNET_1_MDC : STD_LOGIC; signal processing_system7_0_MDIO_ETHERNET_1_MDIO_I : STD_LOGIC; signal processing_system7_0_MDIO_ETHERNET_1_MDIO_O : STD_LOGIC; @@ -2288,6 +2287,8 @@ architecture STRUCTURE of system_design is signal NLW_fasec_hwtest_0_FMC2_GP3_b_UNCONNECTED : STD_LOGIC; signal NLW_gig_ethernet_pcs_pma_0_an_interrupt_UNCONNECTED : STD_LOGIC; signal NLW_gig_ethernet_pcs_pma_0_gmii_isolate_UNCONNECTED : STD_LOGIC; + signal NLW_gig_ethernet_pcs_pma_0_gmii_rxclk_UNCONNECTED : STD_LOGIC; + signal NLW_gig_ethernet_pcs_pma_0_gmii_txclk_UNCONNECTED : STD_LOGIC; signal NLW_gig_ethernet_pcs_pma_0_gt0_qplloutclk_out_UNCONNECTED : STD_LOGIC; signal NLW_gig_ethernet_pcs_pma_0_gt0_qplloutrefclk_out_UNCONNECTED : STD_LOGIC; signal NLW_gig_ethernet_pcs_pma_0_gtrefclk_bufg_out_UNCONNECTED : STD_LOGIC; @@ -2299,7 +2300,6 @@ architecture STRUCTURE of system_design is signal NLW_gig_ethernet_pcs_pma_0_rxuserclk2_out_UNCONNECTED : STD_LOGIC; signal NLW_gig_ethernet_pcs_pma_0_rxuserclk_out_UNCONNECTED : STD_LOGIC; signal NLW_gig_ethernet_pcs_pma_0_sgmii_clk_f_UNCONNECTED : STD_LOGIC; - signal NLW_gig_ethernet_pcs_pma_0_sgmii_clk_r_UNCONNECTED : STD_LOGIC; signal NLW_gig_ethernet_pcs_pma_0_userclk2_out_UNCONNECTED : STD_LOGIC; signal NLW_gig_ethernet_pcs_pma_0_userclk_out_UNCONNECTED : STD_LOGIC; signal NLW_gig_ethernet_pcs_pma_0_status_vector_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 0 ); @@ -2484,14 +2484,14 @@ gig_ethernet_pcs_pma_0: component system_design_gig_ethernet_pcs_pma_0_0 configuration_valid => xlconstant_3_dout(0), configuration_vector(4 downto 0) => drive_constants_dout(4 downto 0), gmii_isolate => NLW_gig_ethernet_pcs_pma_0_gmii_isolate_UNCONNECTED, - gmii_rx_dv => processing_system7_0_GMII_ETHERNET_1_RX_DV, - gmii_rx_er => processing_system7_0_GMII_ETHERNET_1_RX_ER, - gmii_rxclk => processing_system7_0_GMII_ETHERNET_1_RX_CLK, - gmii_rxd(7 downto 0) => processing_system7_0_GMII_ETHERNET_1_RXD(7 downto 0), - gmii_tx_en => processing_system7_0_GMII_ETHERNET_1_TX_EN(0), - gmii_tx_er => processing_system7_0_GMII_ETHERNET_1_TX_ER(0), - gmii_txclk => processing_system7_0_GMII_ETHERNET_1_TX_CLK, - gmii_txd(7 downto 0) => processing_system7_0_GMII_ETHERNET_1_TXD(7 downto 0), + gmii_rx_dv => gig_ethernet_pcs_pma_0_gmii_rx_dv, + gmii_rx_er => gig_ethernet_pcs_pma_0_gmii_rx_er, + gmii_rxclk => NLW_gig_ethernet_pcs_pma_0_gmii_rxclk_UNCONNECTED, + gmii_rxd(7 downto 0) => gig_ethernet_pcs_pma_0_gmii_rxd(7 downto 0), + gmii_tx_en => processing_system7_0_ENET1_GMII_TX_EN(0), + gmii_tx_er => processing_system7_0_ENET1_GMII_TX_ER(0), + gmii_txclk => NLW_gig_ethernet_pcs_pma_0_gmii_txclk_UNCONNECTED, + gmii_txd(7 downto 0) => processing_system7_0_ENET1_GMII_TXD(7 downto 0), gt0_qplloutclk_out => NLW_gig_ethernet_pcs_pma_0_gt0_qplloutclk_out_UNCONNECTED, gt0_qplloutrefclk_out => NLW_gig_ethernet_pcs_pma_0_gt0_qplloutrefclk_out_UNCONNECTED, gtrefclk_bufg_out => NLW_gig_ethernet_pcs_pma_0_gtrefclk_bufg_out_UNCONNECTED, @@ -2512,7 +2512,7 @@ gig_ethernet_pcs_pma_0: component system_design_gig_ethernet_pcs_pma_0_0 rxuserclk2_out => NLW_gig_ethernet_pcs_pma_0_rxuserclk2_out_UNCONNECTED, rxuserclk_out => NLW_gig_ethernet_pcs_pma_0_rxuserclk_out_UNCONNECTED, sgmii_clk_f => NLW_gig_ethernet_pcs_pma_0_sgmii_clk_f_UNCONNECTED, - sgmii_clk_r => NLW_gig_ethernet_pcs_pma_0_sgmii_clk_r_UNCONNECTED, + sgmii_clk_r => gig_ethernet_pcs_pma_0_sgmii_clk_r, signal_detect => drive_constants_dout4(0), status_vector(15 downto 0) => NLW_gig_ethernet_pcs_pma_0_status_vector_UNCONNECTED(15 downto 0), txn => gig_ethernet_pcs_pma_0_sgmii_TXN, @@ -2542,14 +2542,14 @@ processing_system7_0: component system_design_processing_system7_0_0 ENET1_EXT_INTIN => '0', ENET1_GMII_COL => xlconstant_4_dout(0), ENET1_GMII_CRS => xlconstant_6_dout(0), - ENET1_GMII_RXD(7 downto 0) => processing_system7_0_GMII_ETHERNET_1_RXD(7 downto 0), - ENET1_GMII_RX_CLK => processing_system7_0_GMII_ETHERNET_1_RX_CLK, - ENET1_GMII_RX_DV => processing_system7_0_GMII_ETHERNET_1_RX_DV, - ENET1_GMII_RX_ER => processing_system7_0_GMII_ETHERNET_1_RX_ER, - ENET1_GMII_TXD(7 downto 0) => processing_system7_0_GMII_ETHERNET_1_TXD(7 downto 0), - ENET1_GMII_TX_CLK => processing_system7_0_GMII_ETHERNET_1_TX_CLK, - ENET1_GMII_TX_EN(0) => processing_system7_0_GMII_ETHERNET_1_TX_EN(0), - ENET1_GMII_TX_ER(0) => processing_system7_0_GMII_ETHERNET_1_TX_ER(0), + ENET1_GMII_RXD(7 downto 0) => gig_ethernet_pcs_pma_0_gmii_rxd(7 downto 0), + ENET1_GMII_RX_CLK => gig_ethernet_pcs_pma_0_sgmii_clk_r, + ENET1_GMII_RX_DV => gig_ethernet_pcs_pma_0_gmii_rx_dv, + ENET1_GMII_RX_ER => gig_ethernet_pcs_pma_0_gmii_rx_er, + ENET1_GMII_TXD(7 downto 0) => processing_system7_0_ENET1_GMII_TXD(7 downto 0), + ENET1_GMII_TX_CLK => gig_ethernet_pcs_pma_0_sgmii_clk_r, + ENET1_GMII_TX_EN(0) => processing_system7_0_ENET1_GMII_TX_EN(0), + ENET1_GMII_TX_ER(0) => processing_system7_0_ENET1_GMII_TX_ER(0), ENET1_MDIO_I => processing_system7_0_MDIO_ETHERNET_1_MDIO_O, ENET1_MDIO_MDC => processing_system7_0_MDIO_ETHERNET_1_MDC, ENET1_MDIO_O => processing_system7_0_MDIO_ETHERNET_1_MDIO_I, diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/hdl/system_design_wrapper.vhd b/FASEC_prototype.srcs/sources_1/bd/system_design/hdl/system_design_wrapper.vhd index 64fa25a3..b427092b 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/hdl/system_design_wrapper.vhd +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/hdl/system_design_wrapper.vhd @@ -1,7 +1,7 @@ --Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. ---------------------------------------------------------------------------------- --Tool Version: Vivado v.2016.2 (lin64) Build 1577090 Thu Jun 2 16:32:35 MDT 2016 ---Date : Tue Nov 1 18:11:30 2016 +--Date : Fri Nov 4 14:33:46 2016 --Host : lapte24154 running 64-bit openSUSE Leap 42.1 (x86_64) --Command : generate_target system_design_wrapper.bd --Design : system_design_wrapper diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/hw_handoff/system_design.hwh b/FASEC_prototype.srcs/sources_1/bd/system_design/hw_handoff/system_design.hwh index f75d0a9a..023616d5 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/hw_handoff/system_design.hwh +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/hw_handoff/system_design.hwh @@ -1,5 +1,5 @@ <?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<EDKSYSTEM EDWVERSION="1.2" TIMESTAMP="Tue Nov 1 18:11:36 2016" VIVADOVERSION="2016.2"> +<EDKSYSTEM EDWVERSION="1.2" TIMESTAMP="Fri Nov 4 14:33:52 2016" VIVADOVERSION="2016.2"> <SYSTEMINFO ARCH="zynq" DEVICE="7z030" NAME="system_design" PACKAGE="ffg676" SPEEDGRADE="-2"/> @@ -852,7 +852,7 @@ <MODULE FULLNAME="/drive_constants/xlconstant_5" HWVERSION="1.1" INSTANCE="drive_constants_xlconstant_5" IPTYPE="PERIPHERAL" IS_ENABLE="1" MODCLASS="PERIPHERAL" MODTYPE="xlconstant" VLNV="xilinx.com:ip:xlconstant:1.1"> <DOCUMENTS/> <PARAMETERS> - <PARAMETER NAME="CONST_VAL" VALUE="1111101010100001"/> + <PARAMETER NAME="CONST_VAL" VALUE="0011111010100001"/> <PARAMETER NAME="CONST_WIDTH" VALUE="16"/> <PARAMETER NAME="Component_Name" VALUE="system_design_xlconstant_3_1"/> <PARAMETER NAME="EDK_IPTYPE" VALUE="PERIPHERAL"/> @@ -1183,7 +1183,7 @@ <PARAMETER NAME="characterization" VALUE="false"/> <PARAMETER NAME="c_has_mdio" VALUE="true"/> <PARAMETER NAME="c_has_ext_mdio" VALUE="false"/> - <PARAMETER NAME="c_sgmii_phy_mode" VALUE="false"/> + <PARAMETER NAME="c_sgmii_phy_mode" VALUE="true"/> <PARAMETER NAME="c_dynamic_switching" VALUE="false"/> <PARAMETER NAME="c_sgmii_fabric_buffer" VALUE="true"/> <PARAMETER NAME="c_1588" VALUE="0"/> @@ -1225,7 +1225,7 @@ <PARAMETER NAME="MDIO_BOARD_INTERFACE" VALUE="Custom"/> <PARAMETER NAME="Auto_Negotiation" VALUE="true"/> <PARAMETER NAME="SGMII_Mode" VALUE="10_100_1000"/> - <PARAMETER NAME="SGMII_PHY_Mode" VALUE="false"/> + <PARAMETER NAME="SGMII_PHY_Mode" VALUE="true"/> <PARAMETER NAME="Enable_1588" VALUE="false"/> <PARAMETER NAME="Enable_1588_1step" VALUE="false"/> <PARAMETER NAME="Timer_Format" VALUE="Time_of_day"/> @@ -1268,7 +1268,12 @@ <PORT DIR="O" NAME="resetdone" SIGIS="undef"/> <PORT DIR="O" NAME="pma_reset_out" SIGIS="rst"/> <PORT DIR="O" NAME="mmcm_locked_out" SIGIS="undef"/> - <PORT DIR="O" NAME="sgmii_clk_r" SIGIS="undef"/> + <PORT DIR="O" NAME="sgmii_clk_r" SIGIS="undef" SIGNAME="gig_ethernet_pcs_pma_0_sgmii_clk_r"> + <CONNECTIONS> + <CONNECTION INSTANCE="processing_system7_0" PORT="ENET1_GMII_RX_CLK"/> + <CONNECTION INSTANCE="processing_system7_0" PORT="ENET1_GMII_TX_CLK"/> + </CONNECTIONS> + </PORT> <PORT DIR="O" NAME="sgmii_clk_f" SIGIS="undef"/> <PORT DIR="O" NAME="gmii_txclk" SIGIS="undef" SIGNAME="gig_ethernet_pcs_pma_0_gmii_txclk"> <CONNECTIONS> @@ -1280,35 +1285,47 @@ <CONNECTION INSTANCE="processing_system7_0" PORT="ENET1_GMII_RX_CLK"/> </CONNECTIONS> </PORT> - <PORT DIR="I" LEFT="7" NAME="gmii_txd" RIGHT="0" SIGIS="undef" SIGNAME="gig_ethernet_pcs_pma_0_gmii_txd"> + <PORT DIR="I" LEFT="7" NAME="gmii_txd" RIGHT="0" SIGIS="undef" SIGNAME="processing_system7_0_ENET1_GMII_TXD"> <CONNECTIONS> <CONNECTION INSTANCE="processing_system7_0" PORT="ENET1_GMII_TXD"/> + <CONNECTION INSTANCE="processing_system7_0" PORT="ENET1_GMII_TXD"/> </CONNECTIONS> </PORT> - <PORT DIR="I" NAME="gmii_tx_en" SIGIS="undef" SIGNAME="gig_ethernet_pcs_pma_0_gmii_tx_en"> + <PORT DIR="I" NAME="gmii_tx_en" SIGIS="undef" SIGNAME="processing_system7_0_ENET1_GMII_TX_EN"> <CONNECTIONS> <CONNECTION INSTANCE="processing_system7_0" PORT="ENET1_GMII_TX_EN"/> + <CONNECTION INSTANCE="processing_system7_0" PORT="ENET1_GMII_TX_EN"/> </CONNECTIONS> </PORT> - <PORT DIR="I" NAME="gmii_tx_er" SIGIS="undef" SIGNAME="gig_ethernet_pcs_pma_0_gmii_tx_er"> + <PORT DIR="I" NAME="gmii_tx_er" SIGIS="undef" SIGNAME="processing_system7_0_ENET1_GMII_TX_ER"> <CONNECTIONS> <CONNECTION INSTANCE="processing_system7_0" PORT="ENET1_GMII_TX_ER"/> + <CONNECTION INSTANCE="processing_system7_0" PORT="ENET1_GMII_TX_ER"/> </CONNECTIONS> </PORT> <PORT DIR="O" LEFT="7" NAME="gmii_rxd" RIGHT="0" SIGIS="undef" SIGNAME="gig_ethernet_pcs_pma_0_gmii_rxd"> <CONNECTIONS> <CONNECTION INSTANCE="processing_system7_0" PORT="ENET1_GMII_RXD"/> </CONNECTIONS> + <CONNECTIONS> + <CONNECTION INSTANCE="processing_system7_0" PORT="ENET1_GMII_RXD"/> + </CONNECTIONS> </PORT> <PORT DIR="O" NAME="gmii_rx_dv" SIGIS="undef" SIGNAME="gig_ethernet_pcs_pma_0_gmii_rx_dv"> <CONNECTIONS> <CONNECTION INSTANCE="processing_system7_0" PORT="ENET1_GMII_RX_DV"/> </CONNECTIONS> + <CONNECTIONS> + <CONNECTION INSTANCE="processing_system7_0" PORT="ENET1_GMII_RX_DV"/> + </CONNECTIONS> </PORT> <PORT DIR="O" NAME="gmii_rx_er" SIGIS="undef" SIGNAME="gig_ethernet_pcs_pma_0_gmii_rx_er"> <CONNECTIONS> <CONNECTION INSTANCE="processing_system7_0" PORT="ENET1_GMII_RX_ER"/> </CONNECTIONS> + <CONNECTIONS> + <CONNECTION INSTANCE="processing_system7_0" PORT="ENET1_GMII_RX_ER"/> + </CONNECTIONS> </PORT> <PORT DIR="O" NAME="gmii_isolate" SIGIS="undef"/> <PORT DIR="I" NAME="mdc" SIGIS="undef" SIGNAME="gig_ethernet_pcs_pma_0_mdc"> @@ -2340,14 +2357,16 @@ <PARAMETER NAME="EDK_IPTYPE" VALUE="PERIPHERAL"/> </PARAMETERS> <PORTS> - <PORT DIR="O" LEFT="0" NAME="ENET1_GMII_TX_EN" RIGHT="0" SIGIS="undef" SIGNAME="gig_ethernet_pcs_pma_0_gmii_tx_en"> + <PORT DIR="O" LEFT="0" NAME="ENET1_GMII_TX_EN" RIGHT="0" SIGIS="undef" SIGNAME="processing_system7_0_ENET1_GMII_TX_EN"> <CONNECTIONS> <CONNECTION INSTANCE="gig_ethernet_pcs_pma_0" PORT="gmii_tx_en"/> + <CONNECTION INSTANCE="gig_ethernet_pcs_pma_0" PORT="gmii_tx_en"/> </CONNECTIONS> </PORT> - <PORT DIR="O" LEFT="0" NAME="ENET1_GMII_TX_ER" RIGHT="0" SIGIS="undef" SIGNAME="gig_ethernet_pcs_pma_0_gmii_tx_er"> + <PORT DIR="O" LEFT="0" NAME="ENET1_GMII_TX_ER" RIGHT="0" SIGIS="undef" SIGNAME="processing_system7_0_ENET1_GMII_TX_ER"> <CONNECTIONS> <CONNECTION INSTANCE="gig_ethernet_pcs_pma_0" PORT="gmii_tx_er"/> + <CONNECTION INSTANCE="gig_ethernet_pcs_pma_0" PORT="gmii_tx_er"/> </CONNECTIONS> </PORT> <PORT DIR="O" NAME="ENET1_MDIO_MDC" SIGIS="undef" SIGNAME="gig_ethernet_pcs_pma_0_mdc"> @@ -2365,9 +2384,10 @@ <CONNECTION INSTANCE="gig_ethernet_pcs_pma_0" PORT="mdio_t"/> </CONNECTIONS> </PORT> - <PORT DIR="O" LEFT="7" NAME="ENET1_GMII_TXD" RIGHT="0" SIGIS="undef" SIGNAME="gig_ethernet_pcs_pma_0_gmii_txd"> + <PORT DIR="O" LEFT="7" NAME="ENET1_GMII_TXD" RIGHT="0" SIGIS="undef" SIGNAME="processing_system7_0_ENET1_GMII_TXD"> <CONNECTIONS> <CONNECTION INSTANCE="gig_ethernet_pcs_pma_0" PORT="gmii_txd"/> + <CONNECTION INSTANCE="gig_ethernet_pcs_pma_0" PORT="gmii_txd"/> </CONNECTIONS> </PORT> <PORT DIR="I" NAME="ENET1_GMII_COL" SIGIS="undef" SIGNAME="xlconstant_4_dout"> @@ -2381,6 +2401,9 @@ </CONNECTIONS> </PORT> <PORT DIR="I" NAME="ENET1_GMII_RX_CLK" SIGIS="undef" SIGNAME="gig_ethernet_pcs_pma_0_gmii_rxclk"> + <CONNECTIONS> + <CONNECTION INSTANCE="gig_ethernet_pcs_pma_0" PORT="sgmii_clk_r"/> + </CONNECTIONS> <CONNECTIONS> <CONNECTION INSTANCE="gig_ethernet_pcs_pma_0" PORT="gmii_rxclk"/> </CONNECTIONS> @@ -2389,13 +2412,22 @@ <CONNECTIONS> <CONNECTION INSTANCE="gig_ethernet_pcs_pma_0" PORT="gmii_rx_dv"/> </CONNECTIONS> + <CONNECTIONS> + <CONNECTION INSTANCE="gig_ethernet_pcs_pma_0" PORT="gmii_rx_dv"/> + </CONNECTIONS> </PORT> <PORT DIR="I" NAME="ENET1_GMII_RX_ER" SIGIS="undef" SIGNAME="gig_ethernet_pcs_pma_0_gmii_rx_er"> <CONNECTIONS> <CONNECTION INSTANCE="gig_ethernet_pcs_pma_0" PORT="gmii_rx_er"/> </CONNECTIONS> + <CONNECTIONS> + <CONNECTION INSTANCE="gig_ethernet_pcs_pma_0" PORT="gmii_rx_er"/> + </CONNECTIONS> </PORT> <PORT DIR="I" NAME="ENET1_GMII_TX_CLK" SIGIS="undef" SIGNAME="gig_ethernet_pcs_pma_0_gmii_txclk"> + <CONNECTIONS> + <CONNECTION INSTANCE="gig_ethernet_pcs_pma_0" PORT="sgmii_clk_r"/> + </CONNECTIONS> <CONNECTIONS> <CONNECTION INSTANCE="gig_ethernet_pcs_pma_0" PORT="gmii_txclk"/> </CONNECTIONS> @@ -2410,6 +2442,9 @@ <CONNECTIONS> <CONNECTION INSTANCE="gig_ethernet_pcs_pma_0" PORT="gmii_rxd"/> </CONNECTIONS> + <CONNECTIONS> + <CONNECTION INSTANCE="gig_ethernet_pcs_pma_0" PORT="gmii_rxd"/> + </CONNECTIONS> </PORT> <PORT DIR="O" NAME="TTC0_WAVE0_OUT" SIGIS="undef"/> <PORT DIR="O" NAME="TTC0_WAVE1_OUT" SIGIS="undef"/> diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/hw_handoff/system_design_bd.tcl b/FASEC_prototype.srcs/sources_1/bd/system_design/hw_handoff/system_design_bd.tcl index 75e0200d..e426bc0c 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/hw_handoff/system_design_bd.tcl +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/hw_handoff/system_design_bd.tcl @@ -191,7 +191,7 @@ CONFIG.CONST_VAL {0} \ # Create instance: xlconstant_5, and set properties set xlconstant_5 [ create_bd_cell -type ip -vlnv xilinx.com:ip:xlconstant:1.1 xlconstant_5 ] set_property -dict [ list \ -CONFIG.CONST_VAL {1001010000100001} \ +CONFIG.CONST_VAL {1101100000100001} \ CONFIG.CONST_WIDTH {16} \ ] $xlconstant_5 @@ -311,7 +311,7 @@ CONFIG.EMAC_IF_TEMAC {GEM} \ CONFIG.Ext_Management_Interface {false} \ CONFIG.Physical_Interface {Transceiver} \ CONFIG.SGMII_Mode {10_100_1000} \ -CONFIG.SGMII_PHY_Mode {false} \ +CONFIG.SGMII_PHY_Mode {true} \ CONFIG.Standard {SGMII} \ CONFIG.SupportLevel {Include_Shared_Logic_in_Core} \ CONFIG.TransceiverControl {false} \ @@ -1574,8 +1574,15 @@ CONFIG.CONST_VAL {1} \ connect_bd_net -net fasec_hwtest_0_led_col_pl_o [get_bd_ports led_col_pl_o] [get_bd_pins fasec_hwtest_0/led_col_pl_o] connect_bd_net -net fasec_hwtest_0_led_line_en_pl_o [get_bd_ports led_line_en_pl_o] [get_bd_pins fasec_hwtest_0/led_line_en_pl_o] connect_bd_net -net fasec_hwtest_0_led_line_pl_o [get_bd_ports led_line_pl_o] [get_bd_pins fasec_hwtest_0/led_line_pl_o] + connect_bd_net -net gig_ethernet_pcs_pma_0_gmii_rx_dv [get_bd_pins gig_ethernet_pcs_pma_0/gmii_rx_dv] [get_bd_pins processing_system7_0/ENET1_GMII_RX_DV] + connect_bd_net -net gig_ethernet_pcs_pma_0_gmii_rx_er [get_bd_pins gig_ethernet_pcs_pma_0/gmii_rx_er] [get_bd_pins processing_system7_0/ENET1_GMII_RX_ER] + connect_bd_net -net gig_ethernet_pcs_pma_0_gmii_rxd [get_bd_pins gig_ethernet_pcs_pma_0/gmii_rxd] [get_bd_pins processing_system7_0/ENET1_GMII_RXD] + connect_bd_net -net gig_ethernet_pcs_pma_0_sgmii_clk_r [get_bd_pins gig_ethernet_pcs_pma_0/sgmii_clk_r] [get_bd_pins processing_system7_0/ENET1_GMII_RX_CLK] [get_bd_pins processing_system7_0/ENET1_GMII_TX_CLK] connect_bd_net -net osc100_clk_i_1 [get_bd_ports osc100_clk_i] [get_bd_pins fasec_hwtest_0/osc100_clk_i] connect_bd_net -net pb_gp_i_1 [get_bd_ports pb_gp_i] [get_bd_pins fasec_hwtest_0/pb_gp_n_i] + connect_bd_net -net processing_system7_0_ENET1_GMII_TXD [get_bd_pins gig_ethernet_pcs_pma_0/gmii_txd] [get_bd_pins processing_system7_0/ENET1_GMII_TXD] + connect_bd_net -net processing_system7_0_ENET1_GMII_TX_EN [get_bd_pins gig_ethernet_pcs_pma_0/gmii_tx_en] [get_bd_pins processing_system7_0/ENET1_GMII_TX_EN] + connect_bd_net -net processing_system7_0_ENET1_GMII_TX_ER [get_bd_pins gig_ethernet_pcs_pma_0/gmii_tx_er] [get_bd_pins processing_system7_0/ENET1_GMII_TX_ER] connect_bd_net -net processing_system7_0_FCLK_CLK0 [get_bd_pins axi_wb_i2c_master_0/s00_axi_aclk] [get_bd_pins axi_wb_i2c_master_1/s00_axi_aclk] [get_bd_pins axi_wb_i2c_master_2/s00_axi_aclk] [get_bd_pins fasec_hwtest_0/s00_axi_aclk] [get_bd_pins processing_system7_0/FCLK_CLK0] [get_bd_pins processing_system7_0/M_AXI_GP0_ACLK] [get_bd_pins processing_system7_0_axi_periph/ACLK] [get_bd_pins processing_system7_0_axi_periph/M00_ACLK] [get_bd_pins processing_system7_0_axi_periph/M01_ACLK] [get_bd_pins processing_system7_0_axi_periph/M02_ACLK] [get_bd_pins processing_system7_0_axi_periph/M03_ACLK] [get_bd_pins processing_system7_0_axi_periph/S00_ACLK] [get_bd_pins rst_processing_system7_0_100M/slowest_sync_clk] connect_bd_net -net processing_system7_0_FCLK_CLK1 [get_bd_pins fasec_hwtest_0/ps_clk_i] [get_bd_pins processing_system7_0/FCLK_CLK1] connect_bd_net -net processing_system7_0_FCLK_CLK2 [get_bd_pins gig_ethernet_pcs_pma_0/independent_clock_bufg] [get_bd_pins processing_system7_0/FCLK_CLK2] @@ -1596,98 +1603,118 @@ CONFIG.CONST_VAL {1} \ regenerate_bd_layout -layout_string { guistr: "# # String gsaved with Nlview 6.5.12 2016-01-29 bk=1.3547 VDI=39 GEI=35 GUI=JA:1.6 # -string -flagsOSRD -preplace port FMC1_CLK0M2C_N_i -pg 1 -y 1260 -defaultsOSRD -preplace port led_line_en_pl_o -pg 1 -y 1300 -defaultsOSRD -preplace port DDR -pg 1 -y 760 -defaultsOSRD -preplace port led_line_pl_o -pg 1 -y 1320 -defaultsOSRD -preplace port sgmii_rtl -pg 1 -y 60 -defaultsOSRD -preplace port eeprom_sda -pg 1 -y 1540 -defaultsOSRD -preplace port osc100_clk_i -pg 1 -y 1140 -defaultsOSRD -preplace port fmcx_sda -pg 1 -y 1420 -defaultsOSRD -preplace port FMC1_CLK0M2C_P_i -pg 1 -y 1240 -defaultsOSRD -preplace port FMC2_CLK0M2C_N_i -pg 1 -y 1200 -defaultsOSRD -preplace port FMC1_CLK0C2M_P_o -pg 1 -y 1160 -defaultsOSRD -preplace port FMC2_CLK0M2C_P_i -pg 1 -y 1180 -defaultsOSRD -preplace port FMC2_PRSNTM2C_n_i -pg 1 -y 1160 -defaultsOSRD -preplace port FMC1_PRSNTM2C_n_i -pg 1 -y 1220 -defaultsOSRD -preplace port sfp_moddef1_scl -pg 1 -y 530 -defaultsOSRD -preplace port fmcx_scl -pg 1 -y 1400 -defaultsOSRD -preplace port FIXED_IO -pg 1 -y 780 -defaultsOSRD -preplace port eeprom_scl -pg 1 -y 1520 -defaultsOSRD -preplace port FMC1_CLK0C2M_N_o -pg 1 -y 1180 -defaultsOSRD -preplace port pb_gp_i -pg 1 -y 1280 -defaultsOSRD -preplace port diff_clock_rtl -pg 1 -y 180 -defaultsOSRD -preplace port sfp_moddef2_sda -pg 1 -y 550 -defaultsOSRD -preplace port FMC2_CLK0C2M_N_o -pg 1 -y 1060 -defaultsOSRD -preplace port FMC2_CLK0C2M_P_o -pg 1 -y 1040 -defaultsOSRD -preplace portBus FMC1_LA_P_b -pg 1 -y 1000 -defaultsOSRD -preplace portBus FMC2_LA_N_b -pg 1 -y 980 -defaultsOSRD -preplace portBus FMC2_LA_P_b -pg 1 -y 960 -defaultsOSRD -preplace portBus led_col_pl_o -pg 1 -y 1280 -defaultsOSRD -preplace portBus FMC1_LA_N_b -pg 1 -y 1020 -defaultsOSRD -preplace portBus t_wr_txdisable -pg 1 -y 680 -defaultsOSRD -preplace inst drive_constants -pg 1 -lvl 2 -y 320 -defaultsOSRD -preplace inst fasec_hwtest_0 -pg 1 -lvl 3 -y 1140 -defaultsOSRD -preplace inst rst_processing_system7_0_100M -pg 1 -lvl 1 -y 1090 -defaultsOSRD -preplace inst xlconstant_4 -pg 1 -lvl 1 -y 360 -defaultsOSRD -preplace inst axi_wb_i2c_master_0 -pg 1 -lvl 3 -y 1420 -defaultsOSRD -preplace inst axi_wb_i2c_master_1 -pg 1 -lvl 3 -y 1540 -defaultsOSRD -preplace inst axi_wb_i2c_master_2 -pg 1 -lvl 3 -y 550 -defaultsOSRD -preplace inst xlconstant_6 -pg 1 -lvl 1 -y 440 -defaultsOSRD -preplace inst gig_ethernet_pcs_pma_0 -pg 1 -lvl 3 -y 220 -defaultsOSRD -preplace inst processing_system7_0_axi_periph -pg 1 -lvl 2 -y 1010 -defaultsOSRD -preplace inst processing_system7_0 -pg 1 -lvl 1 -y 730 -defaultsOSRD -preplace netloc processing_system7_0_DDR 1 1 3 NJ 680 NJ 680 NJ -preplace netloc drive_constants_dout4 1 2 1 1290 -preplace netloc drive_constants_dout 1 2 1 1200 +preplace port FMC1_CLK0M2C_N_i -pg 1 -y 960 -defaultsOSRD +preplace port led_line_en_pl_o -pg 1 -y 970 -defaultsOSRD +preplace port DDR -pg 1 -y 480 -defaultsOSRD +preplace port led_line_pl_o -pg 1 -y 990 -defaultsOSRD +preplace port sgmii_rtl -pg 1 -y 80 -defaultsOSRD +preplace port eeprom_sda -pg 1 -y 1090 -defaultsOSRD +preplace port osc100_clk_i -pg 1 -y 840 -defaultsOSRD +preplace port fmcx_sda -pg 1 -y 1210 -defaultsOSRD +preplace port FMC1_CLK0M2C_P_i -pg 1 -y 940 -defaultsOSRD +preplace port FMC2_CLK0M2C_N_i -pg 1 -y 900 -defaultsOSRD +preplace port FMC1_CLK0C2M_P_o -pg 1 -y 830 -defaultsOSRD +preplace port FMC2_CLK0M2C_P_i -pg 1 -y 880 -defaultsOSRD +preplace port FMC2_PRSNTM2C_n_i -pg 1 -y 860 -defaultsOSRD +preplace port FMC1_PRSNTM2C_n_i -pg 1 -y 920 -defaultsOSRD +preplace port sfp_moddef1_scl -pg 1 -y 1310 -defaultsOSRD +preplace port fmcx_scl -pg 1 -y 1190 -defaultsOSRD +preplace port FIXED_IO -pg 1 -y 500 -defaultsOSRD +preplace port eeprom_scl -pg 1 -y 1070 -defaultsOSRD +preplace port FMC1_CLK0C2M_N_o -pg 1 -y 850 -defaultsOSRD +preplace port pb_gp_i -pg 1 -y 980 -defaultsOSRD +preplace port diff_clock_rtl -pg 1 -y 680 -defaultsOSRD +preplace port sfp_moddef2_sda -pg 1 -y 1330 -defaultsOSRD +preplace port FMC2_CLK0C2M_N_o -pg 1 -y 730 -defaultsOSRD +preplace port FMC2_CLK0C2M_P_o -pg 1 -y 710 -defaultsOSRD +preplace portBus FMC1_LA_P_b -pg 1 -y 670 -defaultsOSRD +preplace portBus FMC2_LA_N_b -pg 1 -y 650 -defaultsOSRD +preplace portBus FMC2_LA_P_b -pg 1 -y 630 -defaultsOSRD +preplace portBus led_col_pl_o -pg 1 -y 950 -defaultsOSRD +preplace portBus FMC1_LA_N_b -pg 1 -y 690 -defaultsOSRD +preplace portBus t_wr_txdisable -pg 1 -y 460 -defaultsOSRD +preplace inst drive_constants -pg 1 -lvl 2 -y 384 -defaultsOSRD +preplace inst fasec_hwtest_0 -pg 1 -lvl 3 -y 850 -defaultsOSRD +preplace inst rst_processing_system7_0_100M -pg 1 -lvl 1 -y 910 -defaultsOSRD +preplace inst drive_constants|xlconstant_0 -pg 1 -lvl 1 -y 584 -defaultsOSRD +preplace inst drive_constants|xlconstant_1 -pg 1 -lvl 1 -y 744 -defaultsOSRD +preplace inst drive_constants|xlconstant_2 -pg 1 -lvl 1 -y 664 -defaultsOSRD +preplace inst xlconstant_4 -pg 1 -lvl 1 -y 50 -defaultsOSRD +preplace inst axi_wb_i2c_master_0 -pg 1 -lvl 3 -y 1250 -defaultsOSRD +preplace inst drive_constants|xlconstant_3 -pg 1 -lvl 1 -y 424 -defaultsOSRD +preplace inst axi_wb_i2c_master_1 -pg 1 -lvl 3 -y 1130 -defaultsOSRD +preplace inst axi_wb_i2c_master_2 -pg 1 -lvl 3 -y 1370 -defaultsOSRD +preplace inst xlconstant_6 -pg 1 -lvl 1 -y 130 -defaultsOSRD +preplace inst drive_constants|xlconstant_5 -pg 1 -lvl 1 -y 504 -defaultsOSRD +preplace inst gig_ethernet_pcs_pma_0 -pg 1 -lvl 3 -y 260 -defaultsOSRD +preplace inst drive_constants|xlconstant_7 -pg 1 -lvl 1 -y 344 -defaultsOSRD +preplace inst processing_system7_0_axi_periph -pg 1 -lvl 2 -y 1740 -defaultsOSRD +preplace inst processing_system7_0 -pg 1 -lvl 1 -y 480 -defaultsOSRD +preplace netloc drive_constants|xlconstant_7_dout 1 1 1 NJ +preplace netloc processing_system7_0_DDR 1 1 3 NJ 260 NJ 480 NJ +preplace netloc drive_constants_dout4 1 2 1 1060 +preplace netloc drive_constants_dout 1 2 1 1020 preplace netloc fasec_hwtest_0_FMC2_CLK0C2M_N_o 1 3 1 NJ preplace netloc Net4 1 3 1 NJ preplace netloc fasec_hwtest_0_led_line_pl_o 1 3 1 NJ -preplace netloc drive_constants_dout5 1 2 2 NJ 670 NJ -preplace netloc osc100_clk_i_1 1 0 3 NJ 1180 NJ 1190 NJ -preplace netloc FMC1_PRSNTM2C_n_i_1 1 0 3 NJ 1220 NJ 1220 NJ +preplace netloc drive_constants_dout5 1 2 2 NJ 470 NJ +preplace netloc osc100_clk_i_1 1 0 3 NJ 820 NJ 840 NJ +preplace netloc FMC1_PRSNTM2C_n_i_1 1 0 3 NJ 750 NJ 860 NJ preplace netloc Net5 1 3 1 NJ -preplace netloc processing_system7_0_axi_periph_M03_AXI 1 2 1 1200 -preplace netloc processing_system7_0_axi_periph_M00_AXI 1 2 1 1210 +preplace netloc drive_constants|xlconstant_1_dout 1 1 1 NJ +preplace netloc drive_constants|xlconstant_0_dout 1 1 1 NJ +preplace netloc processing_system7_0_axi_periph_M03_AXI 1 2 1 1160 +preplace netloc processing_system7_0_axi_periph_M00_AXI 1 2 1 1150 +preplace netloc gig_ethernet_pcs_pma_0_gmii_rxd 1 1 2 560 180 NJ preplace netloc Net6 1 3 1 NJ -preplace netloc processing_system7_0_M_AXI_GP0 1 1 1 500 -preplace netloc FMC2_PRSNTM2C_n_i_1 1 0 3 NJ 1190 NJ 1200 NJ +preplace netloc drive_constants|xlconstant_2_dout 1 1 1 NJ +preplace netloc drive_constants|xlconstant_5_dout 1 1 1 NJ +preplace netloc processing_system7_0_M_AXI_GP0 1 1 1 530 +preplace netloc gig_ethernet_pcs_pma_0_gmii_rx_er 1 1 2 530 160 NJ +preplace netloc FMC2_PRSNTM2C_n_i_1 1 0 3 NJ 800 NJ 830 NJ preplace netloc Net7 1 3 1 NJ -preplace netloc Net8 1 3 1 N -preplace netloc FMC2_CLK0M2C_N_i_1 1 0 3 NJ 1200 NJ 1210 NJ -preplace netloc FMC2_CLK0M2C_P_i_1 1 0 3 NJ 910 NJ 830 NJ -preplace netloc processing_system7_0_FCLK_RESET0_N 1 0 2 -10 920 440 +preplace netloc processing_system7_0_ENET1_GMII_TX_EN 1 1 2 510 200 NJ +preplace netloc Net8 1 3 1 NJ +preplace netloc FMC2_CLK0M2C_N_i_1 1 0 3 NJ 770 NJ 880 NJ +preplace netloc FMC2_CLK0M2C_P_i_1 1 0 3 NJ 780 NJ 870 NJ +preplace netloc processing_system7_0_FCLK_RESET0_N 1 0 2 15 220 450 preplace netloc fasec_hwtest_0_led_col_pl_o 1 3 1 NJ -preplace netloc Net9 1 3 1 N +preplace netloc Net9 1 3 1 NJ preplace netloc fasec_hwtest_0_FMC2_CLK0C2M_P_o 1 3 1 NJ -preplace netloc diff_clock_rtl_1 1 0 3 NJ 160 NJ 160 NJ -preplace netloc processing_system7_0_axi_periph_M02_AXI 1 2 1 1220 +preplace netloc diff_clock_rtl_1 1 0 3 NJ 190 NJ 190 NJ +preplace netloc processing_system7_0_axi_periph_M02_AXI 1 2 1 1130 +preplace netloc gig_ethernet_pcs_pma_0_sgmii_clk_r 1 1 3 NJ 50 NJ 50 1760 preplace netloc fasec_hwtest_0_FMC1_CLK0C2M_P_o 1 3 1 NJ -preplace netloc rst_processing_system7_0_100M_peripheral_aresetn 1 1 2 520 1250 1270 +preplace netloc rst_processing_system7_0_100M_peripheral_aresetn 1 1 2 450 960 1050 +preplace netloc drive_constants|xlconstant_3_dout 1 1 1 NJ preplace netloc gig_ethernet_pcs_pma_0_sgmii 1 3 1 NJ -preplace netloc processing_system7_0_FIXED_IO 1 1 3 NJ 700 NJ 700 NJ -preplace netloc processing_system7_0_MDIO_ETHERNET_1 1 1 2 NJ 120 N -preplace netloc xlconstant_6_dout 1 1 1 440 -preplace netloc xlconstant_4_dout 1 1 1 450 -preplace netloc FMC1_CLK0M2C_P_i_1 1 0 3 NJ 1240 NJ 1240 NJ +preplace netloc processing_system7_0_FIXED_IO 1 1 3 NJ 820 NJ 500 NJ +preplace netloc processing_system7_0_MDIO_ETHERNET_1 1 1 2 520 100 NJ +preplace netloc processing_system7_0_ENET1_GMII_TX_ER 1 1 2 540 220 NJ +preplace netloc processing_system7_0_ENET1_GMII_TXD 1 1 2 550 230 NJ +preplace netloc xlconstant_6_dout 1 1 1 460 +preplace netloc xlconstant_4_dout 1 1 1 470 +preplace netloc FMC1_CLK0M2C_P_i_1 1 0 3 NJ 760 NJ 890 NJ preplace netloc fasec_hwtest_0_FMC1_CLK0C2M_N_o 1 3 1 NJ -preplace netloc FMC1_CLK0M2C_N_i_1 1 0 3 NJ 1260 NJ 1260 NJ +preplace netloc FMC1_CLK0M2C_N_i_1 1 0 3 NJ 790 NJ 900 NJ preplace netloc Net1 1 3 1 NJ preplace netloc Net 1 3 1 NJ -preplace netloc rst_processing_system7_0_100M_interconnect_aresetn 1 1 1 470 -preplace netloc processing_system7_0_FCLK_CLK0 1 0 3 -20 550 510 550 1240 -preplace netloc drive_constants_dout2 1 2 1 1240 -preplace netloc processing_system7_0_FCLK_CLK1 1 1 2 NJ 820 1260 +preplace netloc rst_processing_system7_0_100M_interconnect_aresetn 1 1 1 460 +preplace netloc processing_system7_0_FCLK_CLK0 1 0 3 25 740 470 940 1110 +preplace netloc drive_constants_dout2 1 2 1 1040 +preplace netloc processing_system7_0_FCLK_CLK1 1 1 2 NJ 850 1090 preplace netloc Net2 1 3 1 NJ -preplace netloc pb_gp_i_1 1 0 3 NJ 1230 NJ 1230 NJ -preplace netloc processing_system7_0_GMII_ETHERNET_1 1 1 2 NJ 140 N -preplace netloc processing_system7_0_axi_periph_M01_AXI 1 2 1 1190 -preplace netloc drive_constants_dout3 1 2 1 1270 -preplace netloc xlconstant_3_dout 1 2 1 1210 -preplace netloc processing_system7_0_FCLK_CLK2 1 1 2 NJ 180 N +preplace netloc pb_gp_i_1 1 0 3 NJ 810 NJ 920 NJ +preplace netloc processing_system7_0_GMII_ETHERNET_1 1 1 2 480 120 NJ +preplace netloc processing_system7_0_axi_periph_M01_AXI 1 2 1 1140 +preplace netloc gig_ethernet_pcs_pma_0_gmii_rx_dv 1 1 2 500 140 NJ +preplace netloc drive_constants_dout3 1 2 1 1050 +preplace netloc xlconstant_3_dout 1 2 1 1030 +preplace netloc processing_system7_0_FCLK_CLK2 1 1 2 NJ 250 1130 preplace netloc Net3 1 3 1 NJ preplace netloc fasec_hwtest_0_led_line_en_pl_o 1 3 1 NJ -levelinfo -pg 1 -60 240 1040 1570 1780 -top 20 -bot 1610 +levelinfo -pg 1 -85 235 800 1585 1830 -top 10 -bot 1920 +levelinfo -hier drive_constants * 830 * ", } diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_0/system_design_auto_pc_0.xml b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_0/system_design_auto_pc_0.xml index 6df92153..3ab5195d 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_0/system_design_auto_pc_0.xml +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_auto_pc_0/system_design_auto_pc_0.xml @@ -1055,7 +1055,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Tue Nov 01 17:11:36 UTC 2016</spirit:value> + <spirit:value>Fri Nov 04 13:33:52 UTC 2016</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> @@ -1085,7 +1085,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Tue Nov 01 17:11:36 UTC 2016</spirit:value> + <spirit:value>Fri Nov 04 13:33:52 UTC 2016</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> @@ -1116,7 +1116,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Tue Nov 01 17:11:36 UTC 2016</spirit:value> + <spirit:value>Fri Nov 04 13:33:52 UTC 2016</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> @@ -1162,7 +1162,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Tue Nov 01 17:11:36 UTC 2016</spirit:value> + <spirit:value>Fri Nov 04 13:33:52 UTC 2016</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> @@ -1193,7 +1193,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Tue Nov 01 17:11:36 UTC 2016</spirit:value> + <spirit:value>Fri Nov 04 13:33:52 UTC 2016</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_gig_ethernet_pcs_pma_0_0/synth/system_design_gig_ethernet_pcs_pma_0_0.vhd b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_gig_ethernet_pcs_pma_0_0/synth/system_design_gig_ethernet_pcs_pma_0_0.vhd index 98ce1a35..ecc25911 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_gig_ethernet_pcs_pma_0_0/synth/system_design_gig_ethernet_pcs_pma_0_0.vhd +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_gig_ethernet_pcs_pma_0_0/synth/system_design_gig_ethernet_pcs_pma_0_0.vhd @@ -247,7 +247,7 @@ architecture wrapper of system_design_gig_ethernet_pcs_pma_0_0 is end component; ATTRIBUTE CORE_GENERATION_INFO : STRING; -ATTRIBUTE CORE_GENERATION_INFO OF wrapper : ARCHITECTURE IS "system_design_gig_ethernet_pcs_pma_0_0,gig_ethernet_pcs_pma_v15_2_1,{x_ipProduct=Vivado 2016.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=gig_ethernet_pcs_pma,x_ipVersion=15.2,x_ipCoreRevision=1,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,c_elaboration_transient_dir=.,c_component_name=system_design_gig_ethernet_pcs_pma_0_0,c_family=zynq,c_architecture=zynq,c_is_sgmii=true,c_use_transceiver=true,c_use_tbi=false,c_is_2_5g=false,c_use_lvds=false,c_has_an=true,characterization=false,c_has_mdio=true,c_has_ext_mdio=false,c_sgmii_phy_mode=false,c_dynamic_switching=false,c_sgmii_fabric_buffer=true,c_1588=0,gt_rx_byte_width=1,C_EMAC_IF_TEMAC=false,C_PHYADDR=9,EXAMPLE_SIMULATION=0,c_support_level=true,c_RxNibbleBitslice0Used=false,c_tx_in_upper_nibble=1,c_TxLane0_Placement=DIFF_PAIR_0,c_TxLane1_Placement=DIFF_PAIR_1,c_RxLane0_Placement=DIFF_PAIR_0,c_RxLane1_Placement=DIFF_PAIR_1,c_sub_core_name=system_design_gig_ethernet_pcs_pma_0_0_gt,c_transceiver_type=GTXE2,c_gt_type=GTH,c_rx_gmii_clk_src=TXOUTCLK,c_transceivercontrol=false,c_gtinex=false,c_xdevicefamily=xc7z030,c_gt_dmonitorout_width=8,c_gt_drpaddr_width=9,c_gt_txdiffctrl_width=4,c_gt_rxmonitorout_width=7,c_num_of_lanes=1,c_refclkrate=125,c_drpclkrate=50.0,c_enable_tx_userclk_reset_port=false}"; +ATTRIBUTE CORE_GENERATION_INFO OF wrapper : ARCHITECTURE IS "system_design_gig_ethernet_pcs_pma_0_0,gig_ethernet_pcs_pma_v15_2_1,{x_ipProduct=Vivado 2016.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=gig_ethernet_pcs_pma,x_ipVersion=15.2,x_ipCoreRevision=1,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,c_elaboration_transient_dir=.,c_component_name=system_design_gig_ethernet_pcs_pma_0_0,c_family=zynq,c_architecture=zynq,c_is_sgmii=true,c_use_transceiver=true,c_use_tbi=false,c_is_2_5g=false,c_use_lvds=false,c_has_an=true,characterization=false,c_has_mdio=true,c_has_ext_mdio=false,c_sgmii_phy_mode=true,c_dynamic_switching=false,c_sgmii_fabric_buffer=true,c_1588=0,gt_rx_byte_width=1,C_EMAC_IF_TEMAC=false,C_PHYADDR=9,EXAMPLE_SIMULATION=0,c_support_level=true,c_RxNibbleBitslice0Used=false,c_tx_in_upper_nibble=1,c_TxLane0_Placement=DIFF_PAIR_0,c_TxLane1_Placement=DIFF_PAIR_1,c_RxLane0_Placement=DIFF_PAIR_0,c_RxLane1_Placement=DIFF_PAIR_1,c_sub_core_name=system_design_gig_ethernet_pcs_pma_0_0_gt,c_transceiver_type=GTXE2,c_gt_type=GTH,c_rx_gmii_clk_src=TXOUTCLK,c_transceivercontrol=false,c_gtinex=false,c_xdevicefamily=xc7z030,c_gt_dmonitorout_width=8,c_gt_drpaddr_width=9,c_gt_txdiffctrl_width=4,c_gt_rxmonitorout_width=7,c_num_of_lanes=1,c_refclkrate=125,c_drpclkrate=50.0,c_enable_tx_userclk_reset_port=false}"; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF wrapper: ARCHITECTURE IS "gig_ethernet_pcs_pma_v15_2_1,Vivado 2016.2"; diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_gig_ethernet_pcs_pma_0_0/synth/system_design_gig_ethernet_pcs_pma_0_0_block.vhd b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_gig_ethernet_pcs_pma_0_0/synth/system_design_gig_ethernet_pcs_pma_0_0_block.vhd index 16311a60..7ea28438 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_gig_ethernet_pcs_pma_0_0/synth/system_design_gig_ethernet_pcs_pma_0_0_block.vhd +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_gig_ethernet_pcs_pma_0_0/synth/system_design_gig_ethernet_pcs_pma_0_0_block.vhd @@ -595,7 +595,7 @@ phyaddress <= std_logic_vector(to_unsigned(9, phyaddress'length)); C_USE_LVDS => false, C_HAS_AN => true, C_HAS_MDIO => true, - C_SGMII_PHY_MODE => false, + C_SGMII_PHY_MODE => true, C_DYNAMIC_SWITCHING => false, C_SGMII_FABRIC_BUFFER => true, C_1588 => 0, diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_gig_ethernet_pcs_pma_0_0/system_design_gig_ethernet_pcs_pma_0_0.xci b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_gig_ethernet_pcs_pma_0_0/system_design_gig_ethernet_pcs_pma_0_0.xci index 29050f66..dc0e8447 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_gig_ethernet_pcs_pma_0_0/system_design_gig_ethernet_pcs_pma_0_0.xci +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_gig_ethernet_pcs_pma_0_0/system_design_gig_ethernet_pcs_pma_0_0.xci @@ -75,7 +75,7 @@ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.c_refclkrate">125</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.c_rx_gmii_clk_src">TXOUTCLK</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.c_sgmii_fabric_buffer">true</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.c_sgmii_phy_mode">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.c_sgmii_phy_mode">true</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.c_sub_core_name">system_design_gig_ethernet_pcs_pma_0_0_gt</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.c_support_level">true</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.c_transceiver_type">GTXE2</spirit:configurableElementValue> @@ -112,7 +112,7 @@ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RxLane1_Placement">DIFF_PAIR_1</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RxNibbleBitslice0Used">false</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SGMII_Mode">10_100_1000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SGMII_PHY_Mode">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SGMII_PHY_Mode">true</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Standard">SGMII</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.SupportLevel">Include_Shared_Logic_in_Core</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Timer_Format">Time_of_day</spirit:configurableElementValue> diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_gig_ethernet_pcs_pma_0_0/system_design_gig_ethernet_pcs_pma_0_0.xml b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_gig_ethernet_pcs_pma_0_0/system_design_gig_ethernet_pcs_pma_0_0.xml index a121b9b5..6e8f3e94 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_gig_ethernet_pcs_pma_0_0/system_design_gig_ethernet_pcs_pma_0_0.xml +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_gig_ethernet_pcs_pma_0_0/system_design_gig_ethernet_pcs_pma_0_0.xml @@ -4080,7 +4080,7 @@ </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRC</spirit:name> - <spirit:value>3894f754</spirit:value> + <spirit:value>2ddab149</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRCversion</spirit:name> @@ -4098,7 +4098,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Tue Nov 01 17:11:34 UTC 2016</spirit:value> + <spirit:value>Fri Nov 04 13:33:50 UTC 2016</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> @@ -4110,7 +4110,7 @@ </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRC</spirit:name> - <spirit:value>3894f754</spirit:value> + <spirit:value>2ddab149</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRCversion</spirit:name> @@ -4129,7 +4129,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Tue Nov 01 17:11:34 UTC 2016</spirit:value> + <spirit:value>Fri Nov 04 13:33:50 UTC 2016</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> @@ -4141,7 +4141,7 @@ </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRC</spirit:name> - <spirit:value>3894f754</spirit:value> + <spirit:value>2ddab149</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRCversion</spirit:name> @@ -4159,7 +4159,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Tue Nov 01 17:11:34 UTC 2016</spirit:value> + <spirit:value>Fri Nov 04 13:33:50 UTC 2016</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> @@ -4171,7 +4171,7 @@ </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRC</spirit:name> - <spirit:value>8d8cf881</spirit:value> + <spirit:value>5f30adbc</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRCversion</spirit:name> @@ -4190,7 +4190,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Tue Nov 01 17:11:34 UTC 2016</spirit:value> + <spirit:value>Fri Nov 04 13:33:50 UTC 2016</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> @@ -4202,7 +4202,7 @@ </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRC</spirit:name> - <spirit:value>8d8cf881</spirit:value> + <spirit:value>5f30adbc</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRCversion</spirit:name> @@ -4220,7 +4220,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Tue Nov 01 17:11:35 UTC 2016</spirit:value> + <spirit:value>Fri Nov 04 13:33:52 UTC 2016</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> @@ -4232,7 +4232,7 @@ </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRC</spirit:name> - <spirit:value>3894f754</spirit:value> + <spirit:value>2ddab149</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRCversion</spirit:name> @@ -14273,7 +14273,7 @@ </spirit:modelParameter> <spirit:modelParameter spirit:dataType="boolean"> <spirit:name>c_sgmii_phy_mode</spirit:name> - <spirit:value spirit:format="bool" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.c_sgmii_phy_mode">false</spirit:value> + <spirit:value spirit:format="bool" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.c_sgmii_phy_mode">true</spirit:value> </spirit:modelParameter> <spirit:modelParameter spirit:dataType="boolean"> <spirit:name>c_dynamic_switching</spirit:name> @@ -14783,7 +14783,7 @@ </spirit:parameter> <spirit:parameter> <spirit:name>SGMII_PHY_Mode</spirit:name> - <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.SGMII_PHY_Mode" spirit:order="40000" spirit:configGroups="4 UnGrouped">false</spirit:value> + <spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.SGMII_PHY_Mode" spirit:order="40000" spirit:configGroups="4 UnGrouped">true</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>Enable_1588</spirit:name> diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xlconstant_0_2/system_design_xlconstant_0_2.xml b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xlconstant_0_2/system_design_xlconstant_0_2.xml index 7f27e4a9..6765ebb3 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xlconstant_0_2/system_design_xlconstant_0_2.xml +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xlconstant_0_2/system_design_xlconstant_0_2.xml @@ -48,7 +48,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Mon Oct 31 14:54:19 UTC 2016</spirit:value> + <spirit:value>Fri Nov 04 13:33:52 UTC 2016</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> @@ -110,7 +110,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Mon Oct 31 14:54:19 UTC 2016</spirit:value> + <spirit:value>Fri Nov 04 13:33:52 UTC 2016</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xlconstant_3_1/sim/system_design_xlconstant_3_1.vhd b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xlconstant_3_1/sim/system_design_xlconstant_3_1.vhd index 2b0cc80c..93915276 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xlconstant_3_1/sim/system_design_xlconstant_3_1.vhd +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xlconstant_3_1/sim/system_design_xlconstant_3_1.vhd @@ -48,7 +48,7 @@ ARCHITECTURE system_design_xlconstant_3_1_arch OF system_design_xlconstant_3_1 I BEGIN U0 : xlconstant GENERIC MAP ( - CONST_VAL => "1111101010100001", + CONST_VAL => "0011111010100001", CONST_WIDTH => 16 ) PORT MAP ( diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xlconstant_3_1/system_design_xlconstant_3_1.xci b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xlconstant_3_1/system_design_xlconstant_3_1.xci index 820a14c1..a094cc7f 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xlconstant_3_1/system_design_xlconstant_3_1.xci +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xlconstant_3_1/system_design_xlconstant_3_1.xci @@ -9,9 +9,9 @@ <spirit:instanceName>system_design_xlconstant_3_1</spirit:instanceName> <spirit:componentRef spirit:vendor="xilinx.com" spirit:library="ip" spirit:name="xlconstant" spirit:version="1.1"/> <spirit:configurableElementValues> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.CONST_VAL">1111101010100001</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.CONST_VAL">0011111010100001</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.CONST_WIDTH">16</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CONST_VAL">1001010000100001</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CONST_VAL">1101100000100001</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CONST_WIDTH">16</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Component_Name">system_design_xlconstant_3_1</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.ARCHITECTURE">zynq</spirit:configurableElementValue> diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xlconstant_3_1/system_design_xlconstant_3_1.xml b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xlconstant_3_1/system_design_xlconstant_3_1.xml index dff0917f..30d90809 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xlconstant_3_1/system_design_xlconstant_3_1.xml +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ip/system_design_xlconstant_3_1/system_design_xlconstant_3_1.xml @@ -29,7 +29,7 @@ </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRC</spirit:name> - <spirit:value>9598ce76</spirit:value> + <spirit:value>8a660213</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRCversion</spirit:name> @@ -48,7 +48,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Mon Oct 31 14:54:19 UTC 2016</spirit:value> + <spirit:value>Fri Nov 04 13:33:52 UTC 2016</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> @@ -60,7 +60,7 @@ </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRC</spirit:name> - <spirit:value>9598ce76</spirit:value> + <spirit:value>8a660213</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRCversion</spirit:name> @@ -91,7 +91,7 @@ </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRC</spirit:name> - <spirit:value>59f5aa8d</spirit:value> + <spirit:value>5226780d</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRCversion</spirit:name> @@ -110,7 +110,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Mon Oct 31 14:54:19 UTC 2016</spirit:value> + <spirit:value>Fri Nov 04 13:33:52 UTC 2016</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>boundaryCRC</spirit:name> @@ -122,7 +122,7 @@ </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRC</spirit:name> - <spirit:value>59f5aa8d</spirit:value> + <spirit:value>5226780d</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>customizationCRCversion</spirit:name> @@ -154,7 +154,7 @@ <spirit:modelParameter xsi:type="spirit:nameValueTypeType" spirit:dataType="integer"> <spirit:name>CONST_VAL</spirit:name> <spirit:displayName>Const Val</spirit:displayName> - <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.CONST_VAL">1111101010100001</spirit:value> + <spirit:value spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.CONST_VAL">0011111010100001</spirit:value> </spirit:modelParameter> <spirit:modelParameter spirit:dataType="integer"> <spirit:name>CONST_WIDTH</spirit:name> @@ -205,7 +205,7 @@ <spirit:parameter> <spirit:name>CONST_VAL</spirit:name> <spirit:displayName>Const Val</spirit:displayName> - <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CONST_VAL" spirit:order="1200">1001010000100001</spirit:value> + <spirit:value spirit:resolve="user" spirit:id="PARAM_VALUE.CONST_VAL" spirit:order="1200">1101100000100001</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>Component_Name</spirit:name> diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/system_design.bd b/FASEC_prototype.srcs/sources_1/bd/system_design/system_design.bd index 234624d6..3746922a 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/system_design.bd +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/system_design.bd @@ -501,7 +501,7 @@ <spirit:configurableElementValue spirit:referenceId="Ext_Management_Interface">false</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="Auto_Negotiation">true</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="SGMII_Mode">10_100_1000</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="SGMII_PHY_Mode">false</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="SGMII_PHY_Mode">true</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="EMAC_IF_TEMAC">GEM</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="C_PHYADDR">9</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="SupportLevel">Include_Shared_Logic_in_Core</spirit:configurableElementValue> @@ -790,6 +790,42 @@ <spirit:externalPortReference spirit:portRef="sfp_moddef2_sda"/> <spirit:internalPortReference spirit:componentRef="axi_wb_i2c_master_2" spirit:portRef="i2c_sda_io"/> </spirit:adHocConnection> + <spirit:adHocConnection> + <spirit:name>gig_ethernet_pcs_pma_0_gmii_rxd</spirit:name> + <spirit:internalPortReference spirit:componentRef="gig_ethernet_pcs_pma_0" spirit:portRef="gmii_rxd"/> + <spirit:internalPortReference spirit:componentRef="processing_system7_0" spirit:portRef="ENET1_GMII_RXD"/> + </spirit:adHocConnection> + <spirit:adHocConnection> + <spirit:name>gig_ethernet_pcs_pma_0_gmii_rx_er</spirit:name> + <spirit:internalPortReference spirit:componentRef="gig_ethernet_pcs_pma_0" spirit:portRef="gmii_rx_er"/> + <spirit:internalPortReference spirit:componentRef="processing_system7_0" spirit:portRef="ENET1_GMII_RX_ER"/> + </spirit:adHocConnection> + <spirit:adHocConnection> + <spirit:name>gig_ethernet_pcs_pma_0_gmii_rx_dv</spirit:name> + <spirit:internalPortReference spirit:componentRef="gig_ethernet_pcs_pma_0" spirit:portRef="gmii_rx_dv"/> + <spirit:internalPortReference spirit:componentRef="processing_system7_0" spirit:portRef="ENET1_GMII_RX_DV"/> + </spirit:adHocConnection> + <spirit:adHocConnection> + <spirit:name>processing_system7_0_ENET1_GMII_TXD</spirit:name> + <spirit:internalPortReference spirit:componentRef="processing_system7_0" spirit:portRef="ENET1_GMII_TXD"/> + <spirit:internalPortReference spirit:componentRef="gig_ethernet_pcs_pma_0" spirit:portRef="gmii_txd"/> + </spirit:adHocConnection> + <spirit:adHocConnection> + <spirit:name>processing_system7_0_ENET1_GMII_TX_ER</spirit:name> + <spirit:internalPortReference spirit:componentRef="processing_system7_0" spirit:portRef="ENET1_GMII_TX_ER"/> + <spirit:internalPortReference spirit:componentRef="gig_ethernet_pcs_pma_0" spirit:portRef="gmii_tx_er"/> + </spirit:adHocConnection> + <spirit:adHocConnection> + <spirit:name>processing_system7_0_ENET1_GMII_TX_EN</spirit:name> + <spirit:internalPortReference spirit:componentRef="processing_system7_0" spirit:portRef="ENET1_GMII_TX_EN"/> + <spirit:internalPortReference spirit:componentRef="gig_ethernet_pcs_pma_0" spirit:portRef="gmii_tx_en"/> + </spirit:adHocConnection> + <spirit:adHocConnection> + <spirit:name>gig_ethernet_pcs_pma_0_sgmii_clk_r</spirit:name> + <spirit:internalPortReference spirit:componentRef="gig_ethernet_pcs_pma_0" spirit:portRef="sgmii_clk_r"/> + <spirit:internalPortReference spirit:componentRef="processing_system7_0" spirit:portRef="ENET1_GMII_RX_CLK"/> + <spirit:internalPortReference spirit:componentRef="processing_system7_0" spirit:portRef="ENET1_GMII_TX_CLK"/> + </spirit:adHocConnection> </spirit:adHocConnections> <spirit:hierConnections> <spirit:hierConnection spirit:interfaceRef="DDR/processing_system7_0_DDR"> @@ -914,7 +950,7 @@ <spirit:configurableElementValues> <spirit:configurableElementValue spirit:referenceId="bd:xciName">system_design_xlconstant_3_1</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="CONST_WIDTH">16</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="CONST_VAL">1001010000100001</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="CONST_VAL">1101100000100001</spirit:configurableElementValue> </spirit:configurableElementValues> </spirit:componentInstance> <spirit:componentInstance> diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/system_design.bxml b/FASEC_prototype.srcs/sources_1/bd/system_design/system_design.bxml index 2765c74a..98b3f81e 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/system_design.bxml +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/system_design.bxml @@ -2,9 +2,9 @@ <Root MajorVersion="0" MinorVersion="33"> <CompositeFile CompositeFileTopName="system_design" CanBeSetAsTop="true" CanDisplayChildGraph="true"> <Description>Composite Fileset</Description> - <Generation Name="SYNTHESIS" State="GENERATED" Timestamp="1478020299"/> - <Generation Name="IMPLEMENTATION" State="GENERATED" Timestamp="1478020299"/> - <Generation Name="SIMULATION" State="GENERATED" Timestamp="1478020299"/> + <Generation Name="SYNTHESIS" State="GENERATED" Timestamp="1478266436"/> + <Generation Name="IMPLEMENTATION" State="GENERATED" Timestamp="1478266436"/> + <Generation Name="SIMULATION" State="GENERATED" Timestamp="1478266436"/> <FileCollection Name="SOURCES" Type="SOURCES"> <File Name="ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0.xci" Type="IP"> <Instance HierarchyPath="processing_system7_0"/> diff --git a/FASEC_prototype.srcs/sources_1/bd/system_design/ui/bd_7f01d80e.ui b/FASEC_prototype.srcs/sources_1/bd/system_design/ui/bd_7f01d80e.ui index d5187bf8..80acce92 100644 --- a/FASEC_prototype.srcs/sources_1/bd/system_design/ui/bd_7f01d80e.ui +++ b/FASEC_prototype.srcs/sources_1/bd/system_design/ui/bd_7f01d80e.ui @@ -1,98 +1,105 @@ { guistr: "# # String gsaved with Nlview 6.5.12 2016-01-29 bk=1.3547 VDI=39 GEI=35 GUI=JA:1.6 # -string -flagsOSRD -preplace port FMC1_CLK0M2C_N_i -pg 1 -y 1260 -defaultsOSRD -preplace port led_line_en_pl_o -pg 1 -y 1300 -defaultsOSRD -preplace port DDR -pg 1 -y 760 -defaultsOSRD -preplace port led_line_pl_o -pg 1 -y 1320 -defaultsOSRD -preplace port sgmii_rtl -pg 1 -y 60 -defaultsOSRD -preplace port eeprom_sda -pg 1 -y 1540 -defaultsOSRD -preplace port osc100_clk_i -pg 1 -y 1140 -defaultsOSRD -preplace port fmcx_sda -pg 1 -y 1420 -defaultsOSRD -preplace port FMC1_CLK0M2C_P_i -pg 1 -y 1240 -defaultsOSRD -preplace port FMC2_CLK0M2C_N_i -pg 1 -y 1200 -defaultsOSRD -preplace port FMC1_CLK0C2M_P_o -pg 1 -y 1160 -defaultsOSRD -preplace port FMC2_CLK0M2C_P_i -pg 1 -y 1180 -defaultsOSRD -preplace port FMC2_PRSNTM2C_n_i -pg 1 -y 1160 -defaultsOSRD -preplace port FMC1_PRSNTM2C_n_i -pg 1 -y 1220 -defaultsOSRD -preplace port sfp_moddef1_scl -pg 1 -y 530 -defaultsOSRD -preplace port fmcx_scl -pg 1 -y 1400 -defaultsOSRD -preplace port FIXED_IO -pg 1 -y 780 -defaultsOSRD -preplace port eeprom_scl -pg 1 -y 1520 -defaultsOSRD -preplace port FMC1_CLK0C2M_N_o -pg 1 -y 1180 -defaultsOSRD -preplace port pb_gp_i -pg 1 -y 1280 -defaultsOSRD -preplace port diff_clock_rtl -pg 1 -y 180 -defaultsOSRD -preplace port sfp_moddef2_sda -pg 1 -y 550 -defaultsOSRD -preplace port FMC2_CLK0C2M_N_o -pg 1 -y 1060 -defaultsOSRD -preplace port FMC2_CLK0C2M_P_o -pg 1 -y 1040 -defaultsOSRD -preplace portBus FMC1_LA_P_b -pg 1 -y 1000 -defaultsOSRD -preplace portBus FMC2_LA_N_b -pg 1 -y 980 -defaultsOSRD -preplace portBus FMC2_LA_P_b -pg 1 -y 960 -defaultsOSRD -preplace portBus led_col_pl_o -pg 1 -y 1280 -defaultsOSRD -preplace portBus FMC1_LA_N_b -pg 1 -y 1020 -defaultsOSRD -preplace portBus t_wr_txdisable -pg 1 -y 680 -defaultsOSRD -preplace inst drive_constants -pg 1 -lvl 2 -y 320 -defaultsOSRD -preplace inst fasec_hwtest_0 -pg 1 -lvl 3 -y 1140 -defaultsOSRD -preplace inst rst_processing_system7_0_100M -pg 1 -lvl 1 -y 1090 -defaultsOSRD -preplace inst xlconstant_4 -pg 1 -lvl 1 -y 360 -defaultsOSRD -preplace inst axi_wb_i2c_master_0 -pg 1 -lvl 3 -y 1420 -defaultsOSRD -preplace inst axi_wb_i2c_master_1 -pg 1 -lvl 3 -y 1540 -defaultsOSRD -preplace inst axi_wb_i2c_master_2 -pg 1 -lvl 3 -y 550 -defaultsOSRD -preplace inst xlconstant_6 -pg 1 -lvl 1 -y 440 -defaultsOSRD -preplace inst gig_ethernet_pcs_pma_0 -pg 1 -lvl 3 -y 220 -defaultsOSRD -preplace inst processing_system7_0_axi_periph -pg 1 -lvl 2 -y 1010 -defaultsOSRD -preplace inst processing_system7_0 -pg 1 -lvl 1 -y 730 -defaultsOSRD -preplace netloc processing_system7_0_DDR 1 1 3 NJ 680 NJ 680 NJ -preplace netloc drive_constants_dout4 1 2 1 1290 -preplace netloc drive_constants_dout 1 2 1 1200 +preplace port FMC1_CLK0M2C_N_i -pg 1 -y 970 -defaultsOSRD +preplace port led_line_en_pl_o -pg 1 -y 980 -defaultsOSRD +preplace port DDR -pg 1 -y 470 -defaultsOSRD +preplace port led_line_pl_o -pg 1 -y 1000 -defaultsOSRD +preplace port sgmii_rtl -pg 1 -y 50 -defaultsOSRD +preplace port eeprom_sda -pg 1 -y 1100 -defaultsOSRD +preplace port osc100_clk_i -pg 1 -y 850 -defaultsOSRD +preplace port fmcx_sda -pg 1 -y 1220 -defaultsOSRD +preplace port FMC1_CLK0M2C_P_i -pg 1 -y 950 -defaultsOSRD +preplace port FMC2_CLK0M2C_N_i -pg 1 -y 910 -defaultsOSRD +preplace port FMC1_CLK0C2M_P_o -pg 1 -y 840 -defaultsOSRD +preplace port FMC2_CLK0M2C_P_i -pg 1 -y 890 -defaultsOSRD +preplace port FMC2_PRSNTM2C_n_i -pg 1 -y 870 -defaultsOSRD +preplace port FMC1_PRSNTM2C_n_i -pg 1 -y 930 -defaultsOSRD +preplace port sfp_moddef1_scl -pg 1 -y 1320 -defaultsOSRD +preplace port fmcx_scl -pg 1 -y 1200 -defaultsOSRD +preplace port FIXED_IO -pg 1 -y 490 -defaultsOSRD +preplace port eeprom_scl -pg 1 -y 1080 -defaultsOSRD +preplace port FMC1_CLK0C2M_N_o -pg 1 -y 860 -defaultsOSRD +preplace port pb_gp_i -pg 1 -y 990 -defaultsOSRD +preplace port diff_clock_rtl -pg 1 -y 20 -defaultsOSRD +preplace port sfp_moddef2_sda -pg 1 -y 1340 -defaultsOSRD +preplace port FMC2_CLK0C2M_N_o -pg 1 -y 740 -defaultsOSRD +preplace port FMC2_CLK0C2M_P_o -pg 1 -y 720 -defaultsOSRD +preplace portBus FMC1_LA_P_b -pg 1 -y 680 -defaultsOSRD +preplace portBus FMC2_LA_N_b -pg 1 -y 660 -defaultsOSRD +preplace portBus FMC2_LA_P_b -pg 1 -y 640 -defaultsOSRD +preplace portBus led_col_pl_o -pg 1 -y 960 -defaultsOSRD +preplace portBus FMC1_LA_N_b -pg 1 -y 700 -defaultsOSRD +preplace portBus t_wr_txdisable -pg 1 -y 420 -defaultsOSRD +preplace inst drive_constants -pg 1 -lvl 2 -y 340 -defaultsOSRD +preplace inst fasec_hwtest_0 -pg 1 -lvl 3 -y 820 -defaultsOSRD +preplace inst rst_processing_system7_0_100M -pg 1 -lvl 1 -y 800 -defaultsOSRD +preplace inst xlconstant_4 -pg 1 -lvl 1 -y 70 -defaultsOSRD +preplace inst axi_wb_i2c_master_0 -pg 1 -lvl 3 -y 1220 -defaultsOSRD +preplace inst axi_wb_i2c_master_1 -pg 1 -lvl 3 -y 1100 -defaultsOSRD +preplace inst axi_wb_i2c_master_2 -pg 1 -lvl 3 -y 1340 -defaultsOSRD +preplace inst xlconstant_6 -pg 1 -lvl 1 -y 150 -defaultsOSRD +preplace inst gig_ethernet_pcs_pma_0 -pg 1 -lvl 3 -y 210 -defaultsOSRD +preplace inst processing_system7_0_axi_periph -pg 1 -lvl 2 -y 700 -defaultsOSRD +preplace inst processing_system7_0 -pg 1 -lvl 1 -y 440 -defaultsOSRD +preplace netloc processing_system7_0_DDR 1 1 3 NJ 470 NJ 470 NJ +preplace netloc drive_constants_dout4 1 2 1 N +preplace netloc drive_constants_dout 1 2 1 900 preplace netloc fasec_hwtest_0_FMC2_CLK0C2M_N_o 1 3 1 NJ preplace netloc Net4 1 3 1 NJ preplace netloc fasec_hwtest_0_led_line_pl_o 1 3 1 NJ -preplace netloc drive_constants_dout5 1 2 2 NJ 670 NJ -preplace netloc osc100_clk_i_1 1 0 3 NJ 1180 NJ 1190 NJ -preplace netloc FMC1_PRSNTM2C_n_i_1 1 0 3 NJ 1220 NJ 1220 NJ +preplace netloc drive_constants_dout5 1 2 2 NJ 420 NJ +preplace netloc osc100_clk_i_1 1 0 3 NJ 890 NJ 890 NJ +preplace netloc FMC1_PRSNTM2C_n_i_1 1 0 3 NJ 930 NJ 930 NJ preplace netloc Net5 1 3 1 NJ -preplace netloc processing_system7_0_axi_periph_M03_AXI 1 2 1 1200 -preplace netloc processing_system7_0_axi_periph_M00_AXI 1 2 1 1210 +preplace netloc processing_system7_0_axi_periph_M03_AXI 1 2 1 880 +preplace netloc processing_system7_0_axi_periph_M00_AXI 1 2 1 910 +preplace netloc gig_ethernet_pcs_pma_0_gmii_rxd 1 1 2 540 130 NJ preplace netloc Net6 1 3 1 NJ -preplace netloc processing_system7_0_M_AXI_GP0 1 1 1 500 -preplace netloc FMC2_PRSNTM2C_n_i_1 1 0 3 NJ 1190 NJ 1200 NJ +preplace netloc processing_system7_0_M_AXI_GP0 1 1 1 480 +preplace netloc gig_ethernet_pcs_pma_0_gmii_rx_er 1 1 2 520 110 NJ +preplace netloc FMC2_PRSNTM2C_n_i_1 1 0 3 NJ 900 NJ 900 NJ preplace netloc Net7 1 3 1 NJ -preplace netloc Net8 1 3 1 N -preplace netloc FMC2_CLK0M2C_N_i_1 1 0 3 NJ 1200 NJ 1210 NJ -preplace netloc FMC2_CLK0M2C_P_i_1 1 0 3 NJ 910 NJ 830 NJ -preplace netloc processing_system7_0_FCLK_RESET0_N 1 0 2 -10 920 440 +preplace netloc processing_system7_0_ENET1_GMII_TX_EN 1 1 2 510 150 NJ +preplace netloc Net8 1 3 1 NJ +preplace netloc FMC2_CLK0M2C_N_i_1 1 0 3 NJ 920 NJ 920 NJ +preplace netloc FMC2_CLK0M2C_P_i_1 1 0 3 NJ 910 NJ 910 NJ +preplace netloc processing_system7_0_FCLK_RESET0_N 1 0 2 50 710 470 preplace netloc fasec_hwtest_0_led_col_pl_o 1 3 1 NJ -preplace netloc Net9 1 3 1 N +preplace netloc Net9 1 3 1 NJ preplace netloc fasec_hwtest_0_FMC2_CLK0C2M_P_o 1 3 1 NJ -preplace netloc diff_clock_rtl_1 1 0 3 NJ 160 NJ 160 NJ -preplace netloc processing_system7_0_axi_periph_M02_AXI 1 2 1 1220 +preplace netloc diff_clock_rtl_1 1 0 3 NJ 20 NJ 200 NJ +preplace netloc processing_system7_0_axi_periph_M02_AXI 1 2 1 N +preplace netloc gig_ethernet_pcs_pma_0_sgmii_clk_r 1 1 3 560 440 NJ 440 1320 preplace netloc fasec_hwtest_0_FMC1_CLK0C2M_P_o 1 3 1 NJ -preplace netloc rst_processing_system7_0_100M_peripheral_aresetn 1 1 2 520 1250 1270 +preplace netloc rst_processing_system7_0_100M_peripheral_aresetn 1 1 2 560 970 980 preplace netloc gig_ethernet_pcs_pma_0_sgmii 1 3 1 NJ -preplace netloc processing_system7_0_FIXED_IO 1 1 3 NJ 700 NJ 700 NJ -preplace netloc processing_system7_0_MDIO_ETHERNET_1 1 1 2 NJ 120 N -preplace netloc xlconstant_6_dout 1 1 1 440 -preplace netloc xlconstant_4_dout 1 1 1 450 -preplace netloc FMC1_CLK0M2C_P_i_1 1 0 3 NJ 1240 NJ 1240 NJ +preplace netloc processing_system7_0_FIXED_IO 1 1 3 NJ 490 NJ 490 NJ +preplace netloc processing_system7_0_MDIO_ETHERNET_1 1 1 2 NJ 450 880 +preplace netloc processing_system7_0_ENET1_GMII_TX_ER 1 1 2 530 170 NJ +preplace netloc processing_system7_0_ENET1_GMII_TXD 1 1 2 550 190 NJ +preplace netloc xlconstant_6_dout 1 1 1 470 +preplace netloc xlconstant_4_dout 1 1 1 480 +preplace netloc FMC1_CLK0M2C_P_i_1 1 0 3 NJ 940 NJ 940 NJ preplace netloc fasec_hwtest_0_FMC1_CLK0C2M_N_o 1 3 1 NJ -preplace netloc FMC1_CLK0M2C_N_i_1 1 0 3 NJ 1260 NJ 1260 NJ +preplace netloc FMC1_CLK0M2C_N_i_1 1 0 3 NJ 950 NJ 950 NJ preplace netloc Net1 1 3 1 NJ preplace netloc Net 1 3 1 NJ -preplace netloc rst_processing_system7_0_100M_interconnect_aresetn 1 1 1 470 -preplace netloc processing_system7_0_FCLK_CLK0 1 0 3 -20 550 510 550 1240 -preplace netloc drive_constants_dout2 1 2 1 1240 -preplace netloc processing_system7_0_FCLK_CLK1 1 1 2 NJ 820 1260 +preplace netloc rst_processing_system7_0_100M_interconnect_aresetn 1 1 1 550 +preplace netloc processing_system7_0_FCLK_CLK0 1 0 3 30 700 480 880 870 +preplace netloc drive_constants_dout2 1 2 1 960 +preplace netloc processing_system7_0_FCLK_CLK1 1 1 2 NJ 510 970 preplace netloc Net2 1 3 1 NJ -preplace netloc pb_gp_i_1 1 0 3 NJ 1230 NJ 1230 NJ -preplace netloc processing_system7_0_GMII_ETHERNET_1 1 1 2 NJ 140 N -preplace netloc processing_system7_0_axi_periph_M01_AXI 1 2 1 1190 -preplace netloc drive_constants_dout3 1 2 1 1270 -preplace netloc xlconstant_3_dout 1 2 1 1210 -preplace netloc processing_system7_0_FCLK_CLK2 1 1 2 NJ 180 N +preplace netloc pb_gp_i_1 1 0 3 NJ 960 NJ 960 NJ +preplace netloc processing_system7_0_GMII_ETHERNET_1 1 1 2 490 70 NJ +preplace netloc processing_system7_0_axi_periph_M01_AXI 1 2 1 900 +preplace netloc gig_ethernet_pcs_pma_0_gmii_rx_dv 1 1 2 500 90 NJ +preplace netloc drive_constants_dout3 1 2 1 N +preplace netloc xlconstant_3_dout 1 2 1 970 +preplace netloc processing_system7_0_FCLK_CLK2 1 1 2 NJ 520 910 preplace netloc Net3 1 3 1 NJ preplace netloc fasec_hwtest_0_led_line_en_pl_o 1 3 1 NJ -levelinfo -pg 1 -60 240 1040 1570 1780 -top 20 -bot 1610 +levelinfo -pg 1 0 260 710 1150 1340 -top 0 -bot 1410 ", } { diff --git a/FASEC_prototype.xpr b/FASEC_prototype.xpr index c14432e2..3e3da12f 100644 --- a/FASEC_prototype.xpr +++ b/FASEC_prototype.xpr @@ -35,13 +35,13 @@ <Option Name="WTVcsLaunchSim" Val="0"/> <Option Name="WTRivieraLaunchSim" Val="0"/> <Option Name="WTActivehdlLaunchSim" Val="0"/> - <Option Name="WTXSimExportSim" Val="30"/> - <Option Name="WTModelSimExportSim" Val="30"/> - <Option Name="WTQuestaExportSim" Val="30"/> - <Option Name="WTIesExportSim" Val="30"/> - <Option Name="WTVcsExportSim" Val="30"/> - <Option Name="WTRivieraExportSim" Val="30"/> - <Option Name="WTActivehdlExportSim" Val="30"/> + <Option Name="WTXSimExportSim" Val="36"/> + <Option Name="WTModelSimExportSim" Val="36"/> + <Option Name="WTQuestaExportSim" Val="36"/> + <Option Name="WTIesExportSim" Val="36"/> + <Option Name="WTVcsExportSim" Val="36"/> + <Option Name="WTRivieraExportSim" Val="36"/> + <Option Name="WTActivehdlExportSim" Val="36"/> </Configuration> <FileSets Version="1" Minor="31"> <FileSet Name="sources_1" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1"> @@ -52,6 +52,29 @@ <Attr Name="UsedIn" Val="implementation"/> <Attr Name="UsedIn" Val="simulation"/> </FileInfo> + <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_processing_system7_0_axi_periph_3/system_design_processing_system7_0_axi_periph_3.xci"/> + <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_processing_system7_0_0/system_design_processing_system7_0_0.xci"/> + <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="hw_handoff/system_design.hwh"/> + <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="hdl/system_design.hwdef"/> + <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="system_design_ooc.xdc"/> + <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_auto_pc_0/system_design_auto_pc_0.xci"/> + <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_axi_wb_i2c_master_2_0/system_design_axi_wb_i2c_master_2_0.xci"/> + <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="hdl/system_design.vhd"/> + <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_xlconstant_0_2/system_design_xlconstant_0_2.xci"/> + <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_xlconstant_3_2/system_design_xlconstant_3_2.xci"/> + <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_xlconstant_3_1/system_design_xlconstant_3_1.xci"/> + <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_xlconstant_3_0/system_design_xlconstant_3_0.xci"/> + <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_xlconstant_0_1/system_design_xlconstant_0_1.xci"/> + <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_xlconstant_1_1/system_design_xlconstant_1_1.xci"/> + <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_xlconstant_1_0/system_design_xlconstant_1_0.xci"/> + <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_xlconstant_0_0/system_design_xlconstant_0_0.xci"/> + <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_gig_ethernet_pcs_pma_0_0/system_design_gig_ethernet_pcs_pma_0_0.xci"/> + <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_xbar_0/system_design_xbar_0.xci"/> + <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_axi_wb_i2c_master_1_0/system_design_axi_wb_i2c_master_1_0.xci"/> + <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_rst_processing_system7_0_100M_2/system_design_rst_processing_system7_0_100M_2.xci"/> + <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_fasec_hwtest_0_0/system_design_fasec_hwtest_0_0.xci"/> + <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="ip/system_design_axi_wb_i2c_master_0_0/system_design_axi_wb_i2c_master_0_0.xci"/> + <CompFileExtendedInfo CompFileName="system_design.bd" FileRelPathName="hw_handoff/system_design_bd.tcl"/> </File> <File Path="$PSRCDIR/sources_1/bd/system_design/hdl/system_design_wrapper.vhd"> <FileInfo> -- GitLab